欢迎光临中图网 请 | 注册
818读者节|每满100减50,文创免费送
出版社:
确定 取消
  • 电路板组装技术与应用

    林定皓著  /  2019-11-01  /  科学出版社
    ¥106.6(7.2折)定价:¥148.0

    本书共17章, 结合笔者积累的工作经验与技术资料, 分别介绍了来料检验、表面处理、焊接技术、压接技术、表面贴装技术、免洗工艺、阵列封装焊料凸块制作技术、回流焊温度曲线的优化、无铅组装的影响、电路板组件的可接受性与可靠性, 以及常见的组装问题与改善措施...

  • 挠性电路板技术与应用

    林定皓著  /  2019-11-01  /  科学出版社
    ¥82.6(7折)定价:¥118.0

    本书共13章, 结合笔者积累的工作经验与技术资料, 分别介绍了挠性电路板的应用优势、基本结构、材料、设计、制造技术、质量管理与组装等...

  • 超大规模集成电路先进光刻理论与应用

    韦亚一  /  2020-03-01  /  科学出版社
    ¥283.6(7.9折)定价:¥359.0

    光刻技术是所有微纳器件制造的核心技术。在集成电路制造中,正是由于光刻技术的不断提高才使得摩尔定律得以继续。本书覆盖现代光刻技术的重要方面,包括设备、材料、仿真(计算光刻)和工艺。在设备部分,对业界使用的主流设备进行剖析,介绍其原理结构、使用方法、和工艺参数的设置。在材料部分,介绍了包括光刻胶、抗反射涂层、抗水涂层、和使用旋图工艺的硬掩膜等材料的分子结构、使用方法,以及必须达到的性能参数。本书按照仿真技术发展的顺序,系统介绍基于经验的光

  • 从零开始学模拟电路

    刘建清  /  2018-03-01  /  人民邮电出版社
    ¥41.3(7折)定价:¥59.0

    本书主要介绍了如下内容:二极管电路、三极管放大电路、场效应管放大电路、功率放大电路、集成运算放大电路、电源电路、正弦波振荡电路和高频电路。很后,结合仿真软件,对书中的重点内容进行了实验和验证...

  • 电路板技术与应用汇编

    林定皓著  /  2019-11-01  /  科学出版社
    ¥77.4(7.9折)定价:¥98.0

    本书共11章, 分别介绍了电路板的类型与电气性能指标、层间结构、基材、质量与可靠性、发展趋势, 多层板的设计及制前工程、制造实务, 挠性板的主要特征、制造实务、设计、应用, 附录还列出了电路板的相关标准...

  • CMOS集成电路闩锁效应

    温德通  /  2020-04-01  /  机械工业出版社
    ¥72.3(7.3折)定价:¥99.0

    本书主要介绍集成电路工艺制程技术的发展过程,集成电路工艺制造技术从*初的BJT工艺制造技术发展到CMOS工艺制造技术,同时器件也从*初的BJT发展的MOSFET。由于体CMOS集成电路中所固有的寄生NPN和寄生PNP会组成的电路,它在一定的条件下被触发而形成低阻通路,从而产生大电流,并且由于正反馈电路的存在而形成闩锁,导致CMOS集成电路无法正常工作,甚至烧毁芯片,通常把该现象称为闩锁效应。闩锁效应存在于体CMOS集成电路中,它一直是

  • 微电子与集成电路优选技术丛书集成电路设计中的电源管理技术

    ¥117.7(7.4折)定价:¥159.0

    本书主要针对低压和高压电源管理电路设计进行了详细讨论。本书力求简化电路模型的数学分析,重点研究电源管理电路的功能和实现。本书中包含了大量电路示意图,以帮助读者理解电源管理电路的基本原理和工作方式。在具体内容方面,本书分章介绍了低压和高压器件、低压差线性稳压器设计、电压模式和电流模式开关电源稳压器、基于纹波的控制技术、单电感多输出转换器、基于开关的电池充电器以及能量收集系统等方面的内容。本书内容详实、实例丰富,可作为高等院校电子科学与技

  • 电子信息前沿技术丛书集成电路版图基础.实用指南

    ¥48.3(7折)定价:¥69.0

    集成电路版图是电路系统与集成电路工艺之间的中间环节,是一个必不可少的重要环节。本书从基础半导体理论的介绍开始,循序渐进地介绍基本集成电路单元的版图设计。本书的突出特点是:在介绍版图设计的同时说明了为什么要这样设计,使读者知其然,并知其所以然。从本书的内容组织也可以看到,版图设计并不是一个孤立的设计环节,它与一些列的技术相关联。本书内容的重点是版图设计的基础知识,对于新入行的从业者,这是一个良好的开端;对于有经验的设计者,本书则可作为对

  • 中国学科发展战略微纳机电系统与微纳传感器技术

    ¥73.5(7.5折)定价:¥98.0

    本书对微纳机电系统与微纳传感器领域的主要技术进行了分类阐述,全面总结了技术的发展现状,客观分析了技术的发展态势,从学科的发展规律和研究特点出发,前瞻性地思考了技术的发展思路与发展方向,提出了我国发展该学科的资助机制与政策建议...

  • 体域网系统架构.关键技术与应用

    庞宇等  /  2020-11-01  /  科学出版社
    ¥99.8(7.8折)定价:¥128.0

    无线体域网对于实现医疗信息数字化、诊疗过程实时化、医疗流程科学化、服务沟通人性化意义重大,能够满足医疗健康信息、公共卫生安全的智能化管理与监控等方面的需求,有利于促进个体与医务人员、医疗机构、医疗设备间的互动。本书深入探讨了体域网的系统架构和关键技术,介绍了各类生命体征参数的检测方法,提出了近体信道建模及天线方案,讨论了体域网预处理集成电路和数字基带芯片的设计方法,分析了可穿戴体征参数感测节点电路结构,详细描述了应用示范案例...

  • 电路原理

    于歆杰  /  2021-01-01  /  清华大学出版社
    ¥46.2(7折)定价:¥66.0

    《电路原理》主要内容包括:简单电阻电路,线性电阻电路的分析方法和电路定理,非线性电阻电路,一阶电路,二阶电路,阶跃响应,冲激响应,卷积积分,相量法,阻抗与导纳,频率响应,滤波器,谐振,有互感的电路,变压器和三相电路等。另有5个附录,分别介绍电路基本概念的引入,电路图论的基础知识,常系数线性常微分方程的求解,复数和正弦量以及傅里叶级数。  《电路原理》为普通高等教育“十一五”国家级规划教材,内容符合教育部高等学校电子信息科学与电气信

  • Multisim 10电路仿真技术应用

    赵永杰  /  2020-12-01  /  电子工业出版社
    ¥15.1(4.9折)定价:¥30.8

    本书以目前使用很为广泛的电子仿真软件Multisim 10为软件平台,以具体项目为单元,以操作为主线,以技能为核心,将仿真技术的基本操作和基础理论巧妙地融合到具体电子线路中进行讲解,让读者在“做中学,学中做”,轻松、高效地掌握Multisim 10仿真软件的应用技巧。全本共分为11个项目,分别是直流电路仿真、三端稳压电源电路仿真、放大电路仿真、波形发生器电路仿真、数码管显示电路仿真、简单数字钟电路仿真、可编程任意波形发生器电路仿真、声

  • 软件定义芯片(下册)

    ¥124.8(7.9折)定价:¥158.0

    《软件定义芯片》共分上、下两册,本书为下册。通过回溯现代通用处理器和编程模型协同演化历程分析了软件定义芯片编程模型的研究重点,介绍了如何利用软件定义芯片的动态可重构特性提升芯片硬件安全性和可靠性,分析了软件定义芯片面临的挑战并展望未来实现技术突破的发展方向,涵盖了软件定义芯片在人工智能、密码计算、5G通信等领域的近期新研究以及面向未来的新兴应用方向。 《软件定义芯片》适合电子科学与技术和计算机科学与技术专业的科研人员、高年级研

  • 逻辑势--高速CMOS电路设计(精)

    (美)Ivan Sutherland//  /  2021-07-01  /  科学出版社
    ¥83.7(7.9折)定价:¥106.0

    这是一本帮助读者设计高速电路的专业著作,本书对快速分析和优化大规模电路提供了一种有效的设计思路。通过逻辑势技术的引入,无论是新手设计者还是有经验的设计者,都能获得设计高速电路的一般规律。逻辑势是一个多学科的交叉领域技术,需要读者具有较高的数学基础和电路基础,对于大多数高速电路设计者来说,这显然是应该具备的能力。与传统的RC分析方法相比,逻辑势方法提供了一种优化电路时值得考虑的全新思考角度,事实上,即使与很有经验的工程师设计出来的电路相

  • 微电子学概论(第三版)

    ¥41.3(7折)定价:¥59.0

    本书是在2000年1月北京大学出版社的《微电子学概论》一书的基础上形成的。本书主要介绍了微电子技术的发展历史,半导体物理和器件物理基础知识,集成电路及SOC的制造、设计以及计算机辅助设计技术基础,光电子器件,微电子系统技术和纳电子器件等的基础知识,很后给出了微电子技术发展的一些规律和展望。本书的特点是让外行的人不觉得肤浅,将电子学领域中的一些近期新观点、近期新成果涵盖其中。 本书可以作为微电子专业及电子信息科学与技术、计算机科学与

  • 硅基射频集成电路和系统

    廖怀林  /  2020-03-01  /  科学出版社
    ¥142.6(7.2折)定价:¥198.0

    《硅基射频集成电路和系统》以硅基射频集成芯片系统为核心,介绍射频电路和系统基础、射频集成电路基本理论和设计方法,以及国内外硅基射频集成电路和系统技术的新进展。《硅基射频集成电路和系统》分为射频电路和系统设计基础知识、射频收发机集成电路技术和面向特定应用的射频集成电路与系统技术三个部分。部分主要包括射频电路和系统基础与高频器件及模型;第二部分主要包括射频收发通道和频率综合器的关键电路技术;第三部分面向低功耗物联网、可重构射频系统和毫米波

  • 人工智能芯片设计

    尹首一 等  /  2020-03-01  /  科学出版社
    ¥77.4(7.9折)定价:¥98.0

    本书介绍了人工智能芯片相关的基础领域知识,分析了人工智能处理面临的挑战,由此引出全书的重点:人工智能芯片的架构设计、数据复用、网络映射、存储优化以及软硬件协同设计技术等领域前沿技术。书中还讨论了近期新研究成果,并辅以实验数据进行比较分析,很后展望了人工智能芯片技术的发展方向。本书适合人工智能芯片设计相关领域和对该领域感兴趣的读者阅读,也适合电子科学与技术专业的教师和学生参考...

  • 集成电路器件抗辐射加固设计技术

    ¥94.2(7.3折)定价:¥129.0

    本书从集成电路器件可靠性问题出发,结合作者在集成电路可靠性设计领域的多年科研实践成果,阐述了辐射环境、辐射效应、软错误和仿真工具等背景知识,详细介绍了常用的抗辐射加固设计技术及组件,重点针对锁存器、主从触发器、SRAM存储单元和表决器介绍了经典的和新颖的抗辐射加固技术等...

  • 片上光互连技术

    顾华玺,杨银堂,李慧  /  2020-01-01  /  龙门书局
    ¥92.9(7.2折)定价:¥129.0

    《片上光互连技术》系统描述了片上光互连的背景、基本理论、研究现状、设计应用以及发展前景;侧重片上光互连的设计,为该领域发展提供一定的技术参考。《片上光互连技术》共8章:章介绍片上光互连的背景、技术概念、基本理论;第2章阐述片上光路由器的基本原理和分类;第3章介绍新型片上光路由器的设计;第4章阐述片上光互连架构的研究现状;第5章介绍新型片上光互连架构的设计;第6章介绍新型交换机制的设计;第7章介绍热感知的设计方法;第8章为片上光互连的技

  • 集成电路设计自动化

    ¥142.6(7.2折)定价:¥198.0

    本书内容设计集成电路设计自动化集成电路设计方法学、数字集成电路设计流程、相关EDA算法和EDA软件系统方面的主要内容。主要包括系统综合、系统验证、电路仿真、布图规划、布局、布线、时钟综合、时序优化、片上供电网络仿真优化、DFM/DFY驱动的物理设计、三维集成电路设计,以及面向硬件安全的集成电路设计...

  • 中国集成电路与光电芯片2035发展战略

    ¥148.5(7.5折)定价:¥198.0

    当前和今后一段时期将是我国集成电路和光电芯片技术发展的重要战略机遇期和攻坚期,加强自主集成电路和光电芯片技术的研发工作,布局和突破关键技术并拥有自主知识产权,实现集成电路产业的高质量发展是我国当前的重大战略需求。《中国集成电路与光电芯片2035发展战略》面向2035年探讨了国际集成电路与光电芯片前沿发展趋势和中国从芯片大国走向芯片强国的可持续发展策略,围绕上述相关方向开展研究和探讨,并为我国在未来集成电路和光电芯片发展中实现科技与产业

  • MEMS/NEMS谐振器技术

    张文明,胡开明  /  2023-08-01  /  科学出版社
    ¥232.4(7.8折)定价:¥298.0

    本书主要介绍微/纳机电系统(MEMS/NEMS)谐振器动力学设计理论、分析方法及应用技术。全书共9章,主要内容包括:MEMS/NEMS技术基础和MEMS/NEMS谐振器技术的发展历程与发展趋势;谐振器的工作原理、谐振结构设计理论及分析技术;谐振器件制备涉及的材料、微纳加工工艺及技术;谐振器中存在的丰富非线性现象和复杂动力学行为;微纳尺度下的能量耗散理论、阻尼特性、作用机制及测试方法;谐振器中应用的各种振动激励与检测原理及技术;通道式M

  • 集成式工艺规划与车间调度方法(英文版)

    李新宇,高亮  /  2023-06-01  /  科学出版社
    ¥184.3(7.2折)定价:¥256.0

    本书总结了作者在集成式工艺规划与车间调度问题上的研究成果,共包含5个部分:第一部分重点对工艺规划、车间调度、柔性作业车间调度以及集成式工艺规划与车间调度等问题的近期新研究成果进行了系统的综述;第二部分重点针对单目标的集成式工艺规划与车间调度问题的理论与方法进行系统介绍,提出了该问题的数学模型以及高效优化方法;第三部分重点针对多目标的集成式工艺规划与车间调度问题的理论与方法进行系统介绍,提出了该问题的多目标数学模型以及高效优化及决策方法

  • 硅基功率集成电路设计技术

    孙伟锋 等  /  2020-03-01  /  科学出版社
    ¥143.3(7.2折)定价:¥199.0

    《硅基功率集成电路设计技术》重点讲述硅基功率集成电路及相关集成器件的设计技术理论和应用。章综述功率集成电路基本概念、特点及发展;第2~3章介绍功率集成电路核心的两种集成器件(LDMOS和SOI-LIGBT)的结构、原理及可靠性;在器件基础上,第4~6章重点阐述高压栅驱动集成电路、非隔离型电源管理集成电路及隔离型电源管理集成电路三种常见典型功率集成电路的设计方法及难点问题。《硅基功率集成电路设计技术》除讲述基本原理,还阐明近年来不错学术

  • PLC控制系统集成及应用

    ¥64.1(7.2折)定价:¥89.0

    本书以培养应用型、技术型、创新型人才为目标,以职业能力为主线,以职业资格认证为基础,内容上充分体现职业技术和高技能人才培训的要求,紧扣可编程控制系统集成与应用的岗位核心技能,详细讲解了安全用电与操作、可编程控制器硬件、可编程控制器编程、可编程控制器系统设计、可编程控制器系统调试等内容。 本书可作为高职院校自动化类专业学生及自动化行业内企业一线员工职业资格考试的教学和培训用书,也可供可编程控制技术应用编程爱好者学习参考...

  • ASIC设计与综合

    孙健  /  2024-06-01  /  科学出版社
    ¥58.5(7.5折)定价:¥78.0

    本书描述了使用 Verilog 从简单到复杂的 ASIC 设计实践场景。它构建了一个从 ASIC 设计的基本原理到使用 Verilog 的高级 RTL 设计概念的故事,提供了有关使用 Synopsys DC 及其解决方案进行 ASIC 设计和合成问题的实用信息。该书解释了如何使用 Verilog 编写高效的 RTL 以及如何提高设计性能,还涵盖了架构设计策略、多时钟域设计、低功耗设计技术、DFT、预布局 STA 和整个 ASIC 设计

  • 集成电路与等离子体装备

    赵晋荣  /  2024-04-01  /  科学出版社
    ¥134.4(8折)定价:¥168.0

    全书主要介绍了集成电路中与等离子体设备相关的内容,具体包括集成电路简史、分类和发展方向以及面临的挑战,气体放电的基本原理和典型应用、等离子体刻蚀工艺与设备、等离子体表面处理技术与设备、物理气相沉积设备与工艺、等离子体增强化学气相沉积工艺与设备、高密度等离子体化学气相沉积工艺与设备、炉管设备与工艺等...

  • 数字集成电路测试——理论、方法与实践

    ¥61.6(7.8折)定价:¥79.0

    《数字集成电路测试——理论、方法与实践》全面介绍数字集成电路测试的基础理论、方法与EDA实践。第1章为数字集成电路测试技术导论,第2~9章依次介绍故障模拟、测试生成、可测试性设计、逻辑内建自测试、测试压缩、存储器自测试与自修复、系统测试和SoC测试、逻辑诊断与良率分析等基础测试技术,第10章扩展介绍在汽车电子领域发展的测试技术,第11章对数字电路测试的技术趋势进行展望。 针对每一种数字集成电路测试技术,本书一方面用示例讲述其技术原理,

  • 忆阻类脑计算

    何毓辉  /  2024-06-01  /  科学出版社
    ¥110.6(7.9折)定价:¥140.0

    这是一本给集成电路科学与工程、电子科学与技术、微电子学与固体电子学、新一代电子信息技术、人工智能等硬件专业学生使用的教材和研究人员使用的参考书。本书首先从硬件层面分两章"忆阻突触"和"忆阻神经元" 系统讲解基于忆阻器的仿生突触与神经元,重点分析不同种类的忆阻材料用作突触和神经元时依据的物理机制,以及在实际应用中面临的主要问题。在神经网络设计层面,按照编码方式分类,可分为模拟值编码的人工神经网络和脉冲编码的脉冲神经网络;按照训练方式分类

  • 超大规模集成电路物理设计:从图分割到时序收敛(原书第2版)

    ¥89.3(7.5折)定价:¥119.0

    在整个现代芯片设计的过程中,由于其复杂性,从而使得专业软件的广泛应用成为了必然。为了获得优异结果,使用软件的用户需要对底层数学模型和算法有较高的理解。此外,此类软件的开发人员必须对相关计算机科学方面有深入的了解,包括算法性能瓶颈以及各种算法如何操作和交互。《超大规模集成电路物理设计:从图分割到时序收敛(原书第2版)》介绍并比较了集成电路物理设计阶段使用的基本算法,其中从抽象电路设计为开始并拓展到几何芯片布局。更新后的第2版包含了物理设

  • 电路CAD-Protel DXP 2004电路设计与实践(含光盘)

    王利强  /  2008-08-01  /  天津大学出版社
    ¥25.8(7.6折)定价:¥34.0

    本书基于Protel DXP 2004电路设计自动化软件,以电路板的制作过程为主线,结合大量具体实例,详细阐述了印刷电路板、原理图和PCB设计技术。本书主要包括印刷电路板的组成与制作流程,元器件封装,电路原理图的绘制,原理图库文件的管理,层次式原理图设计,PCB板的布局与布线、设计规则,PCB库文件的管理等内容。作者结合自己在实际设计中积累的大量实践经验,总结了诸多实际应用中的注意事项。为了方便读者学习,本书还配有光盘,分为教师专用版

本类新书

本站常销

中图网
返回顶部