- 电子工业出版社(13)
- 电子工业出版社(13)
- 科学出版社(10)
- 科学出版社(10)
- 清华大学出版社(6)
- 清华大学出版社(6)
- 人民邮电出版社(3)
- 人民邮电出版社(3)
- 北京工艺美术出版社(3)
- 北京工艺美术出版社(3)
- 学苑出版社(2)
- 学苑出版社(2)
- 机械工业出版社(2)
- 机械工业出版社(2)
- 化学工业出版社(2)
- 化学工业出版社(2)
- 中国农业科学技术出版社(2)
- 中国农业科学技术出版社(2)
- 中国建材工业出版社(1)
- 中国建材工业出版社(1)
- 中国水利水电出版社(1)
- 中国水利水电出版社(1)
- 上海社会科学院出版社(1)
- 上海社会科学院出版社(1)
- 中国石化出版社(1)
- 中国石化出版社(1)
- 上海交通大学出版社(1)
- 上海交通大学出版社(1)
- 文化艺术(1)
- 文化艺术(1)
- 地质出版社(1)
- 地质出版社(1)
- 吉林科学技术出版社(1)
- 吉林科学技术出版社(1)
- 科学技术文献出版社(1)
- 科学技术文献出版社(1)
- 石油工业出版社(1)
- 石油工业出版社(1)
- 海洋出版社(1)
- 海洋出版社(1)
- 南开大学出版社(1)
- 南开大学出版社(1)
- 中国科学技术大学出版社(1)
- 中国科学技术大学出版社(1)
- 中国矿业大学出版社(1)
- 中国矿业大学出版社(1)
- 辽宁科学技术出版社(1)
- 辽宁科学技术出版社(1)
- 人民卫生出版社(1)
- 人民卫生出版社(1)
- 人民军医出版社(1)
- 人民军医出版社(1)
- 中国财政经济出版社(1)
- 中国财政经济出版社(1)
- 北京工艺美术出版社(3)
- 北京工艺美术出版社(3)
- 电子工业出版社(13)
- 电子工业出版社(13)
- 地质出版社(1)
- 地质出版社(1)
- 化学工业出版社(2)
- 化学工业出版社(2)
- 海洋出版社(1)
- 海洋出版社(1)
- 机械工业出版社(2)
- 机械工业出版社(2)
- 吉林科学技术出版社(1)
- 吉林科学技术出版社(1)
- 科学出版社(10)
- 科学出版社(10)
- 科学技术文献出版社(1)
- 科学技术文献出版社(1)
- 辽宁科学技术出版社(1)
- 辽宁科学技术出版社(1)
- 南开大学出版社(1)
- 南开大学出版社(1)
- 清华大学出版社(6)
- 清华大学出版社(6)
- 人民邮电出版社(3)
- 人民邮电出版社(3)
- 人民卫生出版社(1)
- 人民卫生出版社(1)
- 人民军医出版社(1)
- 人民军医出版社(1)
- 上海社会科学院出版社(1)
- 上海社会科学院出版社(1)
- 上海交通大学出版社(1)
- 上海交通大学出版社(1)
- 石油工业出版社(1)
- 石油工业出版社(1)
- 文化艺术(1)
- 文化艺术(1)
- 中国农业科学技术出版社(2)
- 中国农业科学技术出版社(2)
- 中国建材工业出版社(1)
- 中国建材工业出版社(1)
- 中国水利水电出版社(1)
- 中国水利水电出版社(1)
- 中国石化出版社(1)
- 中国石化出版社(1)
- 中国科学技术大学出版社(1)
- 中国科学技术大学出版社(1)
- 中国矿业大学出版社(1)
- 中国矿业大学出版社(1)
- 中国财政经济出版社(1)
- 中国财政经济出版社(1)
-
数字系统设计与VERILOG HDL(第7版)/王金明
¥28.4(4.9折)定价:¥58.0本书根据EDA课程教学要求,以提高数字系统设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、ModelSim软件为平台,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与
-
EDA技术与VERILOG设计(第2版)/王金明
¥33.0(6折)定价:¥55.0本书与“十二五”普通高等教育本科重量规划教材、普通高等教育“十一五”重量规划教材《EDA技术与VHDL设计(第2版)》(25178)为姊妹篇。本书根据教学和实验基本要求,以提高动手实践能力和工程设计能力为目的,对EDA技术和FPGA设计的相关知识进行系统、完整的介绍。全书共10章,主要内容包括:EDA技术概述,FPGA/CPLD器件概述,Quartus Prime集成开发工具,Verilog语法与要素,Verilog语句语法,Veri
-
FPGA设计与Verilog HDL实现/王金明
¥39.0(6折)定价:¥65.0本书根据EDA课程教学要求,以提高数字系统设计能力为目标,系统阐述FPGA数字开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件结构、Quartus Prime使用指南、Verilog设计初步、Verilog语言要素、Verilog语句语法、Verilog设计的层次与风格、Verilog有限状态机设计、Verilog驱动常用I/O外设、Verilog设计进阶、Verilog Test Bench仿真、Verilog设计
-
食品毒理学
¥33.1(7.2折)定价:¥46.0本书共15章,系统地介绍了食品毒理学的基本概念、基本理论、研究内容和研究方法。内容涉及外源化学物质在机体内的生物转运及生物转化,毒物作用机制及影响因素,食品毒物一般毒性、生殖毒性、“三致”作用及免疫毒性。书中详细介绍了食品毒理学安全风险评估、毒理学评价程序及转基因食品的安全性评价等内容,最后还增加了食品毒理学基础实验的内容。 本书理论结合实践,吸收了食品毒理学研究的最新成果,可作为高等院校食品类相关专业的教材和参考书籍...
-
电动机车理论
¥92.2(7.2折)定价:¥128.0《电动机车理论》可供电动汽车相关企业的工程技术人员自学研究,也可供相关企业、行政部门及行业协会等技术领导决策参考,也可作为车辆工程、机电工程、交通工程等相关专业的教学用书.《电动机车理论》所提供的科学分析思考方法,也有利于培养科技人员的创新思维,也十分适合用于汽车制造、电机及其驱动控制等相关企业对科技人员进行专业技术培训或职业教学...
-
冰壑老人医案.东皋草堂医案
¥18.7(7.2折)定价:¥26.0《冰壑老人医案》为金九渊的门人辑录其生平经治的74个疑难病案而成。本书涉及伤寒、内科、急症、外科、妇科、产科、儿科、痘疹等诸病。该书的主要特点体现在“医贵识证、法贵圆通”,并且从中也可以体会到一位胆见卓然的大医,临危不惧,行圆智方的风采。希望能够为读者阅读研习时提供一定的参考借鉴。《东皋草堂医案》,清代医家王式钰撰。本书详实地记录了他临诊的验案。作者所经治的一些病症,确属疑难危重,他能够精准辨证,从容投药,获得疗效。全书病案以病证名称
-
日本社会观察:2016年
¥40.8(6折)定价:¥68.0《日本社会观察 (2016) 》是由上海社会科学院日本研究中的金永明、王虎主编的一本论文集, 就日本政治、经济、产业、文化、教育、历史等诸多方面进行了积极的摸索探讨, 回顾了日本社会经济发展历程, 介绍了值得我们借鉴的经验和教训, 并指出了目前影响日本发展、影响改善中日关系、日本亟待克服的国际国内问题...
-
金匮方论衍义
¥24.5(6.8折)定价:¥36.0《金匮方论衍义》(以下简称《衍义》),全书三卷,元末明初医家赵以德(良仁)著,约撰于1368年,为现存《金匮要略》(以下简称《金匮》)的最早全注本。作者赵良仁(1304一1373),又作良本,元末明初医家。 本书各卷起止仍从于《金匮》原书,包括金匮方论序、金匮方论目录及正文25篇...
-
客厅-解读家居细部设计
¥14.0(5.9折)定价:¥23.8本书内容包括:业主与装修公司采取的三种合作形式;家居饰品的选购场所;墙壁不隔声的几点建议;套装门、原木门、实木门,分清概念防糊弄;健康住宅的五个标准等...
-
临床中医对药方
¥28.9(4.9折)定价:¥59.0对药也称药对,是中医用药的重要形式,也是中药临床应用的特点之一。本书在参考了大量中医古今文献基础上,归纳出了800余个中药对药,并在古人用药经验基础上加入了现代药理和临床应用内容,汇集名家智慧精华。所选药对以药名笔画为序,特别强调对药的临床举例、方论选录、宜忌及临床应用方面的论述。本书内容全面、实用、编排规范、合理,可供中医药及中西医结合工作者、医药院校师生、中医药爱好者等参考阅读...
-
环保设备及应(段金明)(第三版)
¥41.2(7.1折)定价:¥58.0《环保设备及应用》(第三版)共11章,介绍了:环保设备材料、泵、风机、管道、阀门、管件及其选用;大气污染控制、污水处理、噪声控制、固体废物处理等领域若干典型设备的原理、结构、选用等;环保设备技术经济分析;环保设备自动化及PLC在环保中的应用;环保设备课程设计内容。书中列出了若干题目,供学生训练,培养学生的工程素质和创新能力,使课堂教学与学生动手设计保持同步。为便于教学参考和学生理解,章节后面附有思考题与习题。本书根据近年来环保设备更新
-
新能源与发电站建设实践
¥89.6(7折)定价:¥128.0本书共分为五章,首先阐述了水电站土建项目设计与施工的基础知识和施工要点,然后对混凝土浇筑施工仿真系统的原理和建模进行重点介绍,最后以老挝色拉龙一级水电站、钦州市钦南区民海300MWp光伏发电平价上网项目、中卫麦垛山200MW风电项目三个工程实践为例,分别对水能发电、光能发电和风能发电站的具体设计或施工进行探讨...
-
水电工程大型渣场规划及渣料绿色处置关键技术
¥168.8(6.3折)定价:¥268.0本书全面系统的研究了水电工程大型渣场及渣料处置面临的关键技术问题。首先通过问题提出的方式,叙述了西部大型水电工程在工程建设过程中,大面积的开挖及其弃渣的堆放,对生态平衡以及人员生命、财产、生存环境构成的威协;其次,综述了渣场洪水设计标准、渣场安全稳定标准、渣体物理力学指标的国内研究现状。内容包括土石方平衡与弃渣规划、渣场选址和布置、渣场级别及设计标准、渣场安全稳定、渣场挡护措施、渣场沟水处理及泥石流防治、渣场生态修复与监测措施和渣料绿
-
大气污染控制工程实验
¥33.6(8.4折)定价:¥40.0本教材内容包括四个部分。第一部分是绪论,包括两部分,一部分是本课程的教学目的、学习要求、学习方法及成绩评定方法,让读者对实验课的学习目标和要求有一定了解。一部分是关于实验室安全尤其是大气采用等准备工作的介绍,以提高学生在实验过程中的安全意识。第二部分为有关大气监测方面的内容,包括不同大气污染物的物理性质、化学性质等监测方法和测试实验的介绍,为大气污染控制技术设计提供基础数据。第三部分是关于大气污染控制技术实验,包括了不同污染物的控制技
-
煤田地质勘察与矿产开采
¥39.6(5.5折)定价:¥72.0本书主要介绍了煤田地质勘查与矿产开采方面的基本知识,其中包括:煤田勘探阶段及勘探手段,煤矿地质学与矿图、煤矿开采地质条件与安全地质条件、煤炭资源的普查、详查和勘查,泥炭、煤层气及其他有益矿产的勘查与评价,地球物理勘探,找寻隐伏矿床的勘查地球化学方法,巷道施工,硐室及交岔点施工,巷道支护设计,软岩巷道支护设计与施工等内容。本书突出了此产业基本概念与基本原理,在写作时尝试多方面知识的融会贯通,注重知识层次递进,同时注重理论与实践的结合。希
-
Verilog HDL实用教程
¥49.0(7.1折)定价:¥69.0本书系统讲解Verilog HDL语言规则、语法体系,以Verilog-2001和Verilog-2005两种语言标准为依据,精讲语言,全面梳理,知识点系统全面。本书立足语言本身,按照语言体系编排内容,涵盖所有常用语法规则,补充Verilog-2005中新的语言点,既适合作为推荐语法资料查询,也适合有一定设计基础的读者学习。主要内容包括Verilog HDL入门、数据类型、表达式、门级和开关级建模、数据流建模、行为级建模、层次结构、任
-
Verilog HDL设计实例手册/英特尔FPGA中国创新中心系列丛书
¥62.3(7折)定价:¥89.0本书以Intel的FPGA芯片为目标器件,以Quartus Prime、Platform Designer(PD)、Nios II-Eclipse为软件工具,以Verilog HDL为设计语言,选择C4_MB“口袋实验板”为目标板,通过精选设计案例,诠释用FPGA实现数字系统设计的思路与方法。本书的案例涵盖常用的FPGA数字电路与系统,从复杂的逻辑设计和控制电路,到Nios II嵌入式处理器开发;从状态机单步控制电路,到各种数学运算和
-
灌浆工(装配式建筑技术工人系列教材)
¥36.1(8.6折)定价:¥42.0本书是装配式建筑技术工人系列教材中的一册。2020年8月,住房和城乡建设部等部门作出《关于加快新型建筑工业化发展的若干意见》,意见中指出:“加快专业人才培育,加强职业技能培训,大力培育产业工人队伍”。由此,为加强装配式建筑产业工人队伍建设,规范产业工人的职业能力评价,指导产业工人的教育培训,保证装配式建筑的生产质量和生产安全,组织相关专家学者、一线从业人员编写了本系列图书中的打胶工内容。本书以国家标准、行业标准为基础,系统地介绍了建筑
-
密克罗尼西亚联邦果蔬植物图鉴
¥37.4(5.5折)定价:¥68.0本书对该国果蔬种质资源图鉴进行了较为全面、系统的阐述, 图文并茂, 视觉效果佳, 对于相关人员和大众了解该国信息具有较高的指导意义, 内容包括: 面包果 ; 番木瓜 ; 番石榴等...
-
化工HSE/范剑明
¥30.6(8.5折)定价:¥36.0《化工HSE》的编写以培养适应现代化工生产所需的复合型人才为出发点,介绍了化工企业安全、职业卫生和环保管理三个领域基本知识,力求内容简单实用,易懂易学。本书共分三个单元七章,具体内容包括危险化学品安全生产基础知识、化工企业安全生产与管理、化工企业事故预防与应急救援、职业病危害及防护、职业卫生管理、化工“三废”污染与治理、环境管理与监测。通过本书的学习,使学生掌握安全生产与管理基本常识,提高安全意识和职业危害防范技能,树立健康、安全和环
-
翰墨润庭中国画名家十人集(2018第2辑)
¥40.8(6折)定价:¥68.0《翰墨润庭(中国画名家十人集 2018第2辑)/21世纪有影响力画家精品荟萃》是由“翰墨润庭”艺术馆2018年举办的中国画名家十人展作品集。其中人物画家两人、花鸟画家两人、山水画家六人,都是当代中国画坛的有影响力的人物,都是在传统的基础上创新的中国画家。书中每位画家入选了作品七幅,有作者照片和简历,并附有主编的简介文章,较有分量地展示了每位画家的艺术风貌和艺术追求,是一本高水平、可资借鉴的优秀图书...
-
商务礼仪实务(附微课视频)/孙金明
¥17.1(4.3折)定价:¥39.8本书以工作岗位需求为导向,以生活中实际情景为切入点,以相关知识点或技能点为载体,围绕项目任务,选择重点内容详细讲授,锦囊知识进行有效补充,用典型案例来启发,通过训练来巩固和掌握相关技能。通过微课呈现碎片化学习内容、扩展教学和训练素材。与现实紧密接轨,拓展教学内容的深度和广度,培养很好技能型人才...
-
翰墨润庭 中国画名家十人集(2018第1辑)
¥51.0(7.5折)定价:¥68.0该书是由“翰墨润庭”艺术馆2018年举办的中国画名家十人展作品集。其中人物画家两人、花鸟画家两人、山水画家六人, 都是当代中国画坛的有影响力的人物, 都是在传统的基础上创新的中国画家。书中每位画家入选了作品七幅, 有作者照片和简历, 并附有主编的简介文章, 较有分量地展示了每位画家的艺术风貌和艺术追求, 是一本高水平、可资借鉴的优秀图书...
-
支气管镜介入治疗-(第2版)
¥122.4(7.7折)定价:¥159.0书在上版基础上进行了修订,全书共10章,主要介绍了支气管镜麻醉的选择,介绍了不同呼吸道疾病支气管镜治疗的方法及策略,介绍了支气管镜介入治疗的各种技术,如:高频电刀、氩等离子体凝固、激光、微波、CO2冷冻、支气管内支架、光动力治疗、球囊导管扩张技术、支气管肺泡灌洗技术、内科胸腔镜、纵隔镜等等...
-
高等学校计算机基础教育规划教材网页设计与制作
¥24.8(8.4折)定价:¥29.5本书以网站设计与制作为主线,以dreamweavercs5为开发工具,从实际应用的角度出发,系统地介绍网页制作的相关技术。从基本概念、网站规划与设计入手,逐步展示网页制作与网站开发的全过程。全书共8章,分别为网页设计基础、网站设计、网页基本元素、网页布局、css网页修饰、表单、不错网页制作和asp动态网页设计,并以辽宁风景旅游网站实例设计贯穿全书,与知识点巧妙结合,各章内容环环相扣,每章后面都给出了精心设计的思考题和上机操作题,以便学
-
临床危重症诊治与监护
¥63.4(7.2折)定价:¥88.0《临床危重症诊治与监护》共分4篇16章,第一篇是危重患者总论,针对重症医学概述、危重症患者急救及监护技术、危重症患者的营养支持及危重症患者输血与急救等进行了综述;第二篇是内科危重症,分别针对神经精神性危重症、呼吸内科危重症、心血管内科危重症、消化内科危重症、急性肾衰竭进行了论述;第三篇是外科危重症,分别对骨创伤与神经外科危重症、心脏移植、胸外科危重症、胃肠外科危重症、泌尿外科危重症进行了论述;第四篇是妇科、儿科危重症,主要针对妇科
-
中国黍稷栽培
¥33.0(5.5折)定价:¥60.0黍子和糜子是传统的杂粮作物,习惯上统称黍稷,在植物分类中总称稷。黍子一般具糯性,糜子一般不具糯性。 《中国黍稷栽培》从理论和实践上重点阐述中国黍稷栽培技术(常规栽培技术和特色栽培技术),包括黍稷种质资源、生长发育以及栽培实践中病、虫、草害等生物胁迫和环境胁迫对黍稷生长和产量的影响及其应对措施。 同时,黍稷可以制作多种食品,历史悠久,他们风味各异、形色具佳、营养合理、食用方便。因此,对茶汤、驴打滚、枣糕、年糕、糕斜儿、清真酥香糖
-
数字系统设计与VHDL
¥31.4(6.3折)定价:¥49.9本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、VHDL硬件描述语言等。全书以Quartus Prime、ModelSim等软件为平台,以VHDL'87和VHDL'93语言标准为依据,基于DE2-115实验平台,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍VHDL工程开发的知识与技能.
-
Linux操作系统配置与管理项目化教程
¥26.0(6.5折)定价:¥40.0本书以目前最新的Linux发行版CentOS 7为平台,用项目教学的方式全面介绍Linux操作系统的配置与管理。全书共分为14个项目,项目一到项目七着重训练Linux操作系统的下载与安装、命令操作及使用Vi编辑器编写shell脚本、管理用户和组、管理文件系统和磁盘以及配置Linux网络;项目八到项目十四着重训练如何构建Linux系统的各种网络服务器,包括NFS服务器、Samba服务器、DHCP服务器、DNS服务器、Apache服务器、
-
翰墨润庭 中国画名家三人行(2018第三辑)
¥49.0(7.2折)定价:¥68.0该书是三位当代著名画家在翰墨润庭艺术馆举办的作品展的作品集。这三位画家分别是人物画家王淼田、花鸟画家郑瑰玺、山水画家王金明。他们都是在北京闯荡20年而取得成功的画家, 也都是在中国画领域有所创新的画家。虽然他们主攻的画种不同, 风格迥异, 但他们无不重视对于传统的继承, 无不重视深入生活的写生, 因此他们的作品既有传统笔墨功力, 又具有时代新机, 书中每位画家入选了作品20幅, 有作者照片和简历, 并附有主编的简介文章, 较有分量地展
-
中国经济周期波动的监测和预警方法及其应用
¥90.9(7.9折)定价:¥115.0本书对我国通货膨胀周期特征进行研究,分析经济周期与物价周期的关联、货币供给等因素对物价的影响等;对靠前经济周期问题的研究,包括欧盟和美国经济与我国经济周期波动之间的时变关联、"金砖国家"经济周期波动的关联等...
-
FPGA设计与VHDL实现
¥44.9(7.5折)定价:¥59.9本书根据EDA课程教学要求, 以提高数字设计能力为目的, 系统阐述FPGA数字系统开发的相关知识, 主要内容包括EDA技术概述、FPGA/CPLD器件、Quartus Prime使用指南、 VHDL设计初步、 VHDL结构与要素、 VHDL基本语句、 VHDL设计进阶、VHDL有限状态机设计、VHDL数字设计与优化、VHDL的Test Bench仿真、VHDL设计实例等...
-
数字系统设计与Verilog HDL(第8版)
¥36.5(6.3折)定价:¥58.0本书根据EDA课程教学要求,以提高数字系统设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、ModelSim软件为工具,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过诸多精选设计案例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。 本
-
食品毒理学
¥49.0(7.2折)定价:¥68.0本书共15章,系统地介绍了食品毒理学的基本概念、基本理论和研究方法。内容涉及外源化学物的来源及其在机体内的生物转运及生物转化,毒作用机理及影响因素,食品毒物一般毒性、生殖毒性、致突变作用、致癌作用及免疫毒性,以及食品安全风险评估、毒理学评价程序和方法、转基因食品的安全性评价和相关的食品毒理学基础实验。 本书理论结合实践,吸收了食品毒理学研究的最新成果,可作为高等院校食品类相关专业的教材和参考书籍...
-
数据处理实践教程(微课版)
¥36.8(7.5折)定价:¥49.0本书参照教育部计算机基础课程实验教学的基本要求,主要介绍信息技术及其应用,从基础原理出发、以具体应用为导向进行数据处理讲解。 全书共三部分:第一部分(~4章)为数据处理基础,介绍数据获取以及基本的数据处理方法,包括使用软件、浏览器插件等工具爬取数据,Excel电子表格数据处理和图像数据处理;第二部分(第5~8章)为数据可视化展示,介绍数据可视化的不同形式,包括Word图文报告设计、PPT演示文稿制作、Camtasia视频制作和Anim
-
双层皮幕墙传热计算理论及应用
¥124.8(7.8折)定价:¥160.0双层皮玻璃幕墙(DSF),尤其是带百叶DSF的传热计算,一直是尚未得到有效解决的靠前难题。作者在充分了解双层皮玻璃幕墙的靠前国内研究现状的基础上,建立了一套完整、简单快速、能满足工程设计精度要求的双层皮玻璃幕墙动态传热计算理论和方法。将这套计算方法与建筑能耗模拟平台进行耦合计算,模拟双层皮玻璃幕墙建筑的全年能耗情况,将其嵌入建筑设计和负荷计算软件中计算双层皮玻璃幕墙建筑的供热空调负荷。通过大量计算给出了各种条件下双层皮玻璃幕墙得热量数
-
商务礼仪实务(附微课视频 第4版)
¥29.0(6.3折)定价:¥46.0本书以提高学生的商务礼仪水平为目标,以介绍商务礼仪的基本概念为起点,共分为商务礼仪概述、职业形象礼仪、商务交往礼仪、商务活动礼仪、商务宴请礼仪、特定商务活动礼仪、涉外活动礼仪等7个项目。 本书以工作岗位需求为导向,以生活中实际情景为切入点,以相关知识点或技能点为载体,围绕项目任务,选择重点内容详细讲解,以“锦囊”知识进行有效补充,用典型案例启发学生思考,通过训练使学生巩固和掌握相关技能,利用微课形式扩展教学内容和训练素材,将理
-
航空电子软件开发与适航
¥272.6(7.9折)定价:¥345.0本书围绕航空电子软件的安全性和适航性, 基于DO-178C定义的软件生命周期过程展开了软件工程过程及其直接相关的管理活动的阐述, 并重点关注安全性、适航性、综合模块化航空电子 (IMA) 系统和基于模型的软件开发方法...
-
EDA技术与verilogHDL
¥51.8(7.5折)定价:¥69.0本书根据EDA课程教学要求,以提高数字设计能力为目标,系统阐述FPGA设计开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件结构、Verilog硬件描述语言及设计案例等。全书以Vivado、ModelSim软件为工具,以Verilog-1995和Verilog-2001标准为依据,以可综合的设计为重点,以EGO1“口袋实验板”作为目标板,通过诸多精选设计案例,系统阐述数字系统设计方法与设计思想,由浅入深地介绍Veril
-
管道腐蚀与防护技术
¥29.4(6折)定价:¥49.0智慧城市里的智慧管网是其中的一部分,现在为了解决城市天空网,所有的电、燃气、水都利用管道埋在地下,随着管道事故的频发,城市安全已经越来越受到重视。本书就是介绍管道防腐及检测技术的一本入门级图书。...
-
数字系统设计与Verilog HDL-(第6版)
¥37.4(7.5折)定价:¥49.9本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、Synplify Pro软件为平台,以Verilog—1995和Verilog—2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知
-
土壤重金属环境容量研究
¥61.6(7.9折)定价:¥78.0成杰民、于光金、王明聪著的《土壤重金属环境容量研究》由土壤环境容量基础理论、土壤重金属环境容量研究和土壤重金属环境容量信息系统研发三部分内容组成。从土壤环境容量基本概念、土壤环境容量的理论依据、土壤环境容量的确定、土壤环境容量模型、土壤环境容量预测、土壤环境容量的应用等方面论述了土壤环境容量理论基础。并以山东省主要类型土壤为例,从山东省土壤重金属环境质量状况及其变化特征、山东省土壤重金属环境容量模型参数研究、山东省土壤重金属环境容量、
-
人机协同
¥71.5(7.3折)定价:¥98.0本书引入面向新型人工智能应用的人机协同概念,系统介绍人机协同理念与机制,并通过智慧餐厅与服务机器人、交互式图像分割等场景应用及发展人机协同技术。在前沿技术方面,深入介绍互补人机协同、混合人机协同、多人多机协同、人机协同中的伦理与安全等人机协同的核心内容。在应用实践方面,本书结合营销智能国家新一代人工智能开放创新平台建设,按照人机交互、人在回路、机器服务、人机混合系统的路线,通过实际案例,完整介绍人机协同体系。 本书适合高等院校
-
公钥密码学的数学基础(第二版)
¥55.4(7.1折)定价:¥78.0书中介绍了公钥密码学中涵盖的数论代数基本知识与理论体系:第1章至第6章分别介绍了初等数论基础知识,主要包括同余、剩余类、原根和连分数的基本理论以及在公钥密码中的应用等;第7章至第9章描述了群、环、域三个基本的代数结构及其性质;第10章介绍了与密码学相关的计算复杂性理论及基本数学算法;第11章简单介绍了格理论及格密码分析的基本方法...
-
EDA技术与VHDL设计(第3版)
¥47.5(6.8折)定价:¥69.9本书根据电子信息类课程教学和实验要求,以提高学生的实践动手能力和工程设计能力为目的,对EDA技术和VHDL设计的相关知识进行系统和完整的介绍。全书以Quartus Prime、ModelSim软件为工具,以VHDL-1993和VHDL-2008语言标准为依据,以可综合的设计为重点,通过诸多精选设计案例,由浅入深地介绍VHDL工程开发的知识与技能。全书按"器件-软件-语言-案例”为主线展开,内容紧贴教学和科研实际,举例恰当丰富,富有启发
-
中国生态文明理论与实践
¥298.5(7.5折)定价:¥398.0全书主要论述了中国生态文明的理论与实践。共分为绪论、基础理论篇、创新驱动篇、绿色引领篇、区域发展篇和结语6个部分。基础理论篇侧重于理论分析,在细致阐述了人与自然关系演进的基础上,提出了生态和文明之间的关系,进一步培育和弘扬生态文化;创新驱动篇详细介绍了生态产业化、产业生态化、能源清洁化、资源循环化、消费绿色化、生态产品价值化;绿色引领篇着重说明了中国在生态文明建设方面的重要举措,包括国土空间开发与保护、污染防治攻坚战、水安全保护、海洋
-
数字系统设计与Verilog HDL(Vivado版)
¥35.4(6折)定价:¥59.0本书根据EDA课程教学要求, 以提高数字系统设计能力为目标, 系统阐述FPGA数字开发的相关知识, 主要内容包括EDA技术概述、FPGA/CPLD器件结构、Verilog硬件描述语言及设计案例等。全书以Vivado、ModelSim软件为工具, 以Verilog-1995和Verilog-2001语言标准为依据, 以可综合的设计为重点, 通过诸多精选设计案例, 系统阐述数字系统设计方法与思想, 由浅入深地介绍Verilog工程开发的手