欢迎光临中图网 请 | 注册
818读者节|每满100减50,文创免费送
>
关于“黄松”检索到   共41种现货商品
>
出版社:
确定 取消
  • 解读最高人民法院司法解释-(2006年卷)

    黄松有  /  2007-03-01  /  人民法院出版社
    ¥35.8(5.5折)定价:¥65.0

    《解读最高人民法院司法解释(2006年卷)》收录了2006年最高人民法院公布的12件司法解释,还收录了对审判工作有指导参考意义的司法文件共计68件,对其中一些重要文件也进行了解读。《解读最高人民法院司法解释(2006年卷)》编撰模式为:司法解释+“解读”,使本书兼具司法解释汇编和理解与适用导读两种功能。其内容具体阐述了该司法解释起草制定的背景,解决了审判实践中的哪些问题或确立了什么司法原则等。《解读最高人民法院司法解释(2006年卷)

  • 中国典籍与文化论丛(第5辑)

    黄松  /  2000-02-01  /  中华书局
    ¥21.7(7折)定价:¥31.0

    《中国典籍与文化论丛(5)》主要内容包括:《尚书》的版本源流与校勘、《大戴礼记》佚篇考辨、释“熟”——读帛书《老子》小札、汉初“黄老道德之术”剖析、关于现存几种五臣注《文选》、李白屡遭挫折与倍受赞誉之两面观、论讲经文与变文的关系、从新变代雄论宋诗之价值与地位、晏殊诗与西昆体、苏轼兄弟异同论、我们应该如何整理古籍——由读宋杨万里《诚斋集》稿想到的,内容丰富...

  • 数字电子技术实践教程

    ¥23.8(7折)定价:¥34.0

    本书共7章,主要内容包括:数字集成电路器件、EDA设计软件Quartus Ⅱ和仿真软件Multisim操作基础、硬件描述语言、数字电路实验技术等...

  • 男人精子自述

    黄焕松[等]主编  /  2012-03-01  /  哈尔滨出版社
    ¥14.0(7折)定价:¥20.0

    《曹开镛谈男性养生丛书:精子自述》是一本养生保健类图书,这是哈尔滨出版社联合男科专家精心打造的专门为男士健康保健提供科学参考的实用图书。   本书作者曹开镛是中华中医药协会男科分会会长,世界中医药学会联合会男科专业委员会会长,国际中医男科学会主席,是中医男科的开拓者和创始人之一,在临床医疗、中医养生学的研究与普及等多个领域建树颇多,成果丰硕。   《曹开镛谈男性养生丛书:精子自述》专门针对男性精子问题全面阐述、分析问题,为男

  • 成本会计

    黄成光//应太松  /  2008-01-01  /  浙江大学出版社
    ¥12.6(7折)定价:¥18.0

    本书主要介绍成本会计概述,要素费用的核算、综合费用的核算、生产费用在完工产品与在产品之间的分配,产品成本计算的品种法,产品成本计算的分步法,产品成本计算的分批法等内容...

  • 数控加工技术-(第2版)

    ¥31.9(5.5折)定价:¥58.0

    国家振兴计划在线精品开放课程,教学资源完善安徽省省级规划教材采用理论、实训一体化教学方式, 培养学生实际工作能力和深入学习能力校企合作编写,紧密联系生产实际,突出职业教育特色...

  • C/C++程序设计教程

    黄永峰 孙甲松  /  2019-06-01  /  清华大学出版社
    ¥62.3(7折)定价:¥89.0

    《C/C 程序设计教程》 是在清华大学电子工程系计算机程序设计基础课程教学改革经验和多年教学实践的基础上凝练而成。在介绍 C/C 语言及其程序设计的主要知识和方法的同时,重点围绕实战编程的教学理念来组织教学内容。其实战编程思想体现在以下三方面:(1)面向实战编程来组织教学和教材内容。例如,加强程序调试训练,增加大型综合程序设计,提炼生活素材为编程实例等。(2)制定以考核实际编程能力为主的课程考核方法。例如,在知识点要求上强调学以

  • 吉林摄影出版社意林题源2:高考作文命题之源/意林

    豆党锋//黄听松  /  2018-03-01  /  吉林摄影出版社
    ¥18.1(7折)定价:¥25.9

    以高考生为主要读者群,高一高二学生、家长、老师为次要读者群。全面覆盖、中学生核心素养、传统文化高频母题类高考热点,并有常用经典素材、高分范本,帮高考生摸清作文命题方向、从源头上备考!实用、干货足、一目了然...

  • 应用型人才培养规划教材·经济管理系列ERP供应链管理实务/郑荆陵等

    ¥34.9(7折)定价:¥49.8

    《ERP供应链管理实务》将分销企业的ERP供应链管理解决方案搬进了课堂,以现代管理思想为主线,按照“管理目标、应用模式、业务流程、平台操作”的规则,搭建了一个科学的企业信息化的教学平台,通过该教学平台可以在课堂上模拟学习“企业一线”管理的技术,培养学生“企业资源规划”的素质与能力。 本书采用项目教学方式,参照企业信息化建设项目的管理方法,设有供应链管理系统平台构建、系统管理、基础数据管理、分销物流管理、采购管理、销售管理、产品管理与控

  • “八五”普法常用法律知识问答

    ¥48.9(7折)定价:¥69.8

    2021年是“八五”普法开局第一年,本书由一线民商事法官根及法律实务工作者选取人们日常生活中的常见法律问题,根据新修订法律进行编写,尤其侧重对《中华人民共和国民法典》及相关民商事类法律相关知识的普及。在内容上,本书涉及以下知识:消费者权益保护,婚姻家庭法律知识,继承法律知识、房屋居住、租赁买卖与物权登记法律知识,劳动争议法律知识,侵权责任法律知识,创业者公司治理与风险管理,民事诉讼法律知识等等。在特色上,总的来说,本书内容实用全面,阅

  • 传染病防治趣味漫画手册(修订本)

    黄松  /  2022-07-01  /  四川人民出版社
    ¥30.0(7折)定价:¥42.9

    新型冠状病毒肺炎、流行性感冒、埃博拉、艾滋病等都是这几年大众耳熟能详的传染病,如何预防这些传染性疾病已成为一个热点话题!本书根据传染病的特性分为六个部分来介绍,分别是呼吸道传染病、血液及性传播传染病、肠道传染病、自然疫源及虫媒传染病、寄生虫病、食源性疾病。 书中用手绘插画的形式给这些传染病症赋予卡通形象,通过形象有趣的漫画、简洁明了的语言向读者介绍每一种传染病的症状、潜伏时间、主要特点、传播途径、预防和治疗等知识。 本书除

  • EDA技术实用教程-VHDL版-(第五版)

    潘松^黄继业  /  2013-08-01  /  科学出版社
    ¥29.4(7折)定价:¥42.0

    《"十二五"普通高等教育本科国家级规划教材:EDA技术实用教程:VHDL版(第5版)》根据课堂教学和实验操作的要求,以提高实际工程设计能力为目的,深入浅出地对EDA技术、VHDL硬件描述语言、FPGA开发应用及相关知识做了系统和完整的介绍,使读者通过《"十二五"普通高等教育本科国家级规划教材:EDA技术实用教程:VHDL版(第5版)》的学习并完成推荐的实验,能初步了解和掌握EDA的基本内容及实用技术。《"十二五"普通高等教育本科国家级

  • 俄国简史

    ¥22.1(6.3折)定价:¥35.0

    本书共分为二十六章, 主要内容包括: 自然与民族 ; 斯拉夫民族的宗教与政治体系 ; 留里克及其继任者 ; 俄罗斯人的宗教变化 ; 公国 —— 向北扩张 ; 德国和蒙古入侵等...

  • 高等学校通识教育系列教材计算机基础与计算思维

    ¥41.3(7折)定价:¥59.0

    本课程分两个阶段,靠前阶段为现代信息技术,计划课时6周左右。主要让学生了解计算机软、硬件工作原理、网络、大数据、人工智能等方面的基础知识,熟练操作office办公自动化软件等;第二阶段为计算思维培养,计划课时为7周左右。讲授“计算平台——问题求解——数据处理”的过程,使学生掌握问题求解的方法与手段及正确的科学思维模式,并初步具备运用程序设计的思想与方法求解实际问题的能力,为后续计算机程序设计等课程的深入学习奠定良好的基础...

  • 高等职业教育汽车类新形态一体化教材汽车发动机维修

    ¥37.8(7折)定价:¥54.0

    《汽车发动机维修》主要内容包括认识发动机的结构及工作原理、诊断和排除曲柄连杆机构故障、诊断和排除发动机配气机构故障、诊断和排除冷却系统故障、诊断和排除润滑系统故障、诊断和排除供给系统故障以及实施发动机拆卸、清洗、总装、检验及故障诊断程序等。为便于读者学习,书中搭配了相应的学习任务和微课视频。 本书可作为高职高专院校、中等职业学校、技工学校的教材,也可作为企业培训教材,还可供广大汽车专业的从业人员和汽车爱好者参考使用。 本书封面贴有清

  • 创新创业启蒙/何华国

    ¥22.8(6折)定价:¥38.0

    本书以学生喜爱的方式和语言讲述“认识创新创业、创新思维的开发和落地、创业基本功和方法、抓住创业的机会、商业分析与创业分析、市场定位营销、编写商业计划书、实战创办一家公司”八部分内容,适合中等职业学校和高等职业学校学生学习和使用...

  • 高等院校电子信息科学与工程规划教材EDA技术与VERILOG HDL(英文版)/黄继业等

    ¥48.9(7折)定价:¥69.8

    《EDA 技术与 Verilog HDL (英文版)》 systematically introduces EDA technology and Verilog HDL. It well combines the basic knowledge, programming skills and practical methods of EDA technology and Verilog HDL with the actual en

  • 新型冠状病毒肺炎.流行性感冒.埃博拉.艾滋病等传染病从何而来/传染病防治趣味漫画手册 |

    黄松  /  2020-03-01  /  四川人民出版社
    ¥18.2(6.1折)定价:¥29.8

    新型冠状病毒肺炎、流行性感冒、埃博拉、艾滋病等都是这几年大众耳熟能详的传染病,如何预防这些传染性疾病已成为一个热点话题! 本书根据传染病的特性分为四个部分来介绍,分别是病毒性传染病、细菌性传染病、螺旋体病及原虫病、蠕虫病。书中用手绘插画的形式给这些传染病症赋予卡通形象,通过形象有趣的漫画、简洁明了的语言向读者介绍每一种传染病的症状、潜伏时间、主要特点、传播途径、预防和治疗等知识。 本书除了向成年读者传播基础的医疗知识,也方

  • 静静的顿河/小人书系列

    黄云松  /  2019-12-01  /  连环画出版社
    ¥17.4(6折)定价:¥29.0

    《静静的顿河》是20世纪世界文学中一部很有影响的重要作品。本书由连环画家黄云松、张昌洵二位联袂完成,教科书般的钢笔画风格再现了这部史诗巨著的精髓...

  • 高等学校通识教育系列教材Python程序设计/黄蔚

    ¥41.3(7折)定价:¥59.0

    本书是一本针对零编程基础的读者学习Python程序设计的教材,给读者介绍了Python语言的发展概述,以及如何下载Python的开发环境和第三方库。本书不仅详细介绍了Pyhon的基本语法知识,如Python中的变量、表达式、三种基本结构等程序设计语言通用的数据成分和控制成分,还通过大量例题,详细介绍了Python特有的数据类型——序列,包括列表、元组、字典和元素,并且用专门的一章介绍了字符串和正则表达式...

  • 绿色无公害果品生产与营销

    ¥33.0(5.5折)定价:¥60.0

    本书系统地介绍了绿色无公害果品生产与营销的基本知识和基本方法。主要内容包括绿色无公害果品生产与营销概论。绿色无公害苹果、梨、桃、葡萄、枣、核桃、石榴、李、杏、柿生产关键技术, 果品的采收及采后保鲜技术, 家庭农庄葡萄酒酒庄规划与设计, 果品营销策略等...

  • 同济博士论丛分层地基中地下工程开挖对邻近桩筏基础的影响分析/同济博士论丛

    木林隆黄茂松  /  2018-02-01  /  同济大学出版社
    ¥49.0(7折)定价:¥70.0

    本书是关于复杂条件下主动桩和被动桩分析著作,全书共6章。本书全面考虑桩-土-筏相互作用,基于对称与非对称分层弹性地基理论建立了多向荷载作用下桩筏基础计算方法。建立了隧道开挖对邻近桩筏基础影响的两阶段理方法。建立基于梯度推断理论的反演分析技术,结合土体小应变有限元建立了基坑开挖诱发土体三维位移场的简化分析方法,进而建立了基坑开挖对桩筏基础影响的两阶段分析理论。在书适合相关专业的研究人员阅读参考使用...

  • 后金史

    黄松筠  /  2019-12-01  /  中国社会科学出版社
    ¥81.4(5.5折)定价:¥148.0

    本书系2010年度国家社科基金首批重大委托项目“东北古代方国属国史”的子课题之一。后金是中国东北历目前很后一个地方政权,系由女真——满洲创建,民族特色鲜明,制度完备,且达到了较高的发展水平。本书在前人研究成果的基础上,采用通史、典志、传记、考异、图表、大事编年的编写体例。全面系统阐述了自努尔哈赤1583年起兵创业,迄至1644年清兵入关,共61年清朝开国时期的历史。全书力争做到史料翔实,观点鲜明,集众家之长,深入浅出,富有新意,真实而

  • 在宙斯的阳光下:荷马

    ¥34.3(7折)定价:¥49.0

    西尔万·泰松是法国有名作家。本书设置了九个主题,从荷马存在之谜、诗歌从何而来讲到史诗创作的背景,从史诗叙述的故事讲到它的现实意义与艺术价值,带着我们再次走进这部古希腊文学乃至西方文学伟大的作品--《荷马史诗》中,深度解析了《伊利亚特》(特洛伊战争)和《奥德赛》(奥德修斯重返伊萨卡,秩序回归)中塑造的英雄群像,阐明了为何这两部作品在今天仍熠熠生辉、引起我们的共鸣。书的字里行间都在向我们传达作者想要揭露的一个真相:当代的所有事件都能在荷马

  • 饮用水水源地安全风险评估与管理研究

    ¥37.7(6.5折)定价:¥58.0

    饮用水水源地作为人类生活与社会发展最重要的基础之一,由于其长期处在一个开放的环境里,不可避免受到各种事件的威胁。影响饮用水水源地安全的因素包括水资源短缺、水污染严重、管理水平落后以及地震、洪涝、干旱、人为破坏等外部威胁。本书分析了国内外饮用水水源地安全管理的经验及我国饮用水水源地风险管理的需求,建立了饮用水水源地安全管理的框架,以河道型饮用水水源地为例,识别水源地安全的主要风险因子,分析水源地安全风险机理,构建水源地安全风险评估模型,

  • 虚拟仪器设计基础教程

    黄松岭  /  2008-10-01  /  清华大学出版社
    ¥34.3(7折)定价:¥49.0

    《虚拟仪器设计基础教程》以LabVIEW的新版本8.5中文版为基础,介绍虚拟仪器设计的基本知识。全书共13章,可分为三大部分: 第1~3章介绍检测技术的基础知识,包括传感器、数据采集、误差评定、信号处理和虚拟仪器等; 第4~12章介绍LabVIEW语言的基本内容和编程方法,包括LabVIEW基础、VI编辑与调试技术、程序结构、数组、簇和波形、图形显示、字符串和文件I/O、采集卡条件下的数据采集、LabVIEW中的信号分析和一些高级

  • 大学计算机

    黄松英  /  2021-09-01  /  电子工业出版社
    ¥39.2(7折)定价:¥56.0

    本书是作者在计算机基础教学的实践和探索过程中,结合浙江省计算机等级考试二级不错办公应用技术的要求编写的,旨在提高学生的计算思维能力。本书共4部分,部分为计算机系统,主要讲解计算机硬件系统的组成及应用、软件与操作系统的功能;第2部分为办公自动化软件,主要以Microsoft Office 2019为平台,以应用为目标,运用大量案例讲解文字处理、长文档编辑、Excel数据处理、图表操作、演示文稿设计等;第3部分为数据与计算,主要阐述计算机

  • 高强钢受弯构件极限承载力设计理论研究与经济适用性思考

    ¥21.0(6折)定价:¥35.0

    本书主要涉及Q460高强钢材的力学性能、焊接构件中残余应力的分布形式、高强钢受弯基本构件的性能等方面研究。为了研究Q460高强钢焊接箱形、H形截面压弯构件、I形截面受弯构件的极限承载力,分别采用国产高强钢板制作了7个焊接箱形、6个焊接H形压弯构件与4个I形受弯构件进行试验研究。在试验研究的基础上,采用数值计算方法建立了考虑初始几何缺陷与残余应力影响的数学计算模型,并且通过试验结果验证了数学计算模型的正确性。通过参数分析结果与我国现行钢

  • 中国手工纸文库:下卷:Ⅲf汤书昆总主编:安徽卷:Anhui

    ¥1080.0(6折)定价:¥1800.0

    本书是《中国手工纸文库》丛书中的分卷,本卷是对安徽省手工纸的研究整理。主要内容包括:①手工纸的原料、工艺和用途。②手工纸图片:在实地考察、采集样品过程中,将造纸原料、设备、工艺流程、成品等形成图片记录。③手工纸标本:将收集到的手工纸分类做成标本样,实物样品均以粘贴形式附于书中相应位置,并对各标本的产地、原料、工艺、用途等进行图文一体的分析。④手工纸的技术分析研究:利用中国科学技术大学手工纸研究所手工纸测试分析实验室的设备与技术,对中国

  • 跨境电商物流供应链创新与发展研究

    黄景贤;柏松著  /  2022-02-01  /  经济日报出版社
    ¥33.0(5.5折)定价:¥60.0

    本书是一部阐述国际跨境电商行业发展的作品,主要内容有以下几个方面:对美日中跨境电商物流供应链产业的形成和发展做了详细论述,对美国UPS公司和FedEx公司、日本雅玛多运输和佐川急便、中国顺丰速运进行了供应链解决方案商业模式的五个要素、商业模式创新的ICT环境和宏观环境以及政府简政放权影响的模型分析,对跨境电商物流供应链的类型做了概述,对中国跨境电子商务综合试验区的发展做了概述,明确指出国际快递企业的跨境电商供应链解决方案商业模式促进了

  • “十四五”职业教育国家规规教材--餐饮服务与管理(第二版)

    黄松  /  2023-08-01  /  中国旅游出版社
    ¥34.9(7折)定价:¥49.8

    本教材的编写采用了基于工作过程系统化的设计思想,体现了问题导向、案例引导、任务驱动、项目教学等职业教育教学方法的要求。教材以职业能力培养为基础,以酒店餐饮工作过程为导向,以酒店餐饮职业工作典型任务为基本内容,以酒店餐饮部的工作过程为主线,以岗位员工基础能力向综合能力培养的过程为核心,以课程教学模块的形式,培养学生掌握餐饮服务技能、管理运作流程、基本餐饮文化常识,以及对客服务的规范流程和解决酒店餐饮问题的能力和创新力...

  • 建筑识图与构造

    ¥34.3(7折)定价:¥49.0

    本书重点介绍了建筑工程图识读的基本知识及民用建筑的基本构造,主要内容包括建筑制图基本知识,投影基本知识,剖面图与断面图,施工图识读,建筑构造概述,地基、基础与地下室,墙体,楼地层,楼梯与电梯,门窗,屋顶,变形缝及建筑装饰构造。本书针对职业教育的特点,根据国家、行业颁布的现行规范、规程及标准,结合工程实际进行编写,突出新材料、新技术、新方法及新工艺的应用,将微课、工程实录视频和拓展知识等数字化资源融入二维码中,使内容更加丰富、学习更加直

  • 十四五”职业教育国家规划教材--前厅与客房服务管理(第二版)

    黄松  /  2023-08-01  /  中国旅游出版社
    ¥29.9(6折)定价:¥49.8

    本教材针对本科及高职高专院校培养符合酒店行业岗位需求的新型人才的目标,严格按照工作过程系统化的课程要求,以前沿的行业规范及标准进行编写。本书以酒店行业岗位职责为主线,系统全面地阐述了酒店前厅与客房两大主体部门的服务与管理内容,主要包括预订服务、礼宾服务、入住接待、客房清扫与管理、房务中心服务、财务收银服务六大项目。依照学习者的成长规律和认知规律,以项目设定作为教学任务的驱动,每一篇下设的模块均由项目任务、学习目标、情景导入、引导问题、

  • 浙江省非物质文化遗产代表性传承人口述史丛书. 张再堂卷

    叶林红, 黄来松  /  2023-11-01  /  浙江摄影出版社
    ¥48.4(5.5折)定价:¥88.0

    非物质文化遗产代表性传承人是历史文化的重要承载者和传递者。本从书从非物质文化遗产的文化价值、存续状况、传承保护等方面叙述项目的基本概况,从传承人的生平事迹、学艺师承等角度阐述传承人的生平经历。丛书为非物质文化遗产的保护和研究提供了丰富、翔实、鲜活的宝贵资料,对传承和弘扬中华优秀传统文化具有推动意义。本分卷介绍浙江省非物质文化遗产项目一一包山花鼓戏的代表性传承人张再堂。书中附有传承人个人年表、文献图录等,具有较高的史料价值和学术价值...

  • 数据清洗(普通高等教育数据科学与大数据技术专业教材)

    ¥26.6(7折)定价:¥38.0

    本书编写目的是向读者介绍大数据清洗的基本概念和相应的技术应用,共分 8 章:数据清洗简介、数据清洗中的理论基础、文件格式及其转换、 数据清洗中的理论基础、文件格式及其转换、Excel 数据清洗、Kettle 数据清洗、Kettle 与数据仓库、Python 数据清洗、数据清洗综合实训。本书将理论与实践操作相结合,通过大量的案例帮助读者快速了解和应用数据清洗相关技术,并对重要的核心知识点加大练习比例,以达到熟练应用的目的。本书适用于高校

  • 中国传统文化概论

    ¥30.1(7折)定价:¥43.0

    本书分为上下两篇共十章内容,上篇包括:第一章儒家思想——为人之道、第二章释家思想——修身之道、第三章道家思想一一处世之道、第四章兵家思想一一谋略之道、第五章阴阳五行——哲学之道;下篇包括:第六章教育之光一一薪火传承、第七章文学殿堂一一浩瀚经典、第八章民俗风情——代代相传、第九章科学技术——璀璨夺目、第十章艺韵人生一一辉煌成就...

  • 安全教育读本

    ¥15.4(7折)定价:¥22.0

    本书分为7个项目, 包括校园安全、网络安全、交通安全、消防安全、实训实习安全、社会安全和自然灾害的应对...

  • 梅源芒种开犁节

    ¥38.8(5.7折)定价:¥68.0

  • 医卫类综合知识理论

    黄松翠主编  /  1970-01-01  /  南京出版社
    ¥60.2(7折)定价:¥86.0

  • 浮萍重要基因筛选及药效成分合成通路研究

    ¥49.3(5.6折)定价:¥88.0

    本书针对浮萍优势品种一少根紫萍(Landoltia punctata)开展研究,对其淀粉含量、黄酮类药用资源进行分离分析,采用转录组、蛋白组技术对不同生长条件下少根紫萍开展比较研究,探究其基因内在特点,并对涉及逆境响应、合成调控、代谢途径解析中的重要基因进行深人分析,为基因组学技术在活性成分合成的应用上探明路径,亦为少根紫萍这一优势物种的资源化利用提供参考...

  • 3DS MAX环境设计表达

    ¥34.8(5.9折)定价:¥59.0

    本书系统介绍了环境设计工作中的主流技术(实际工作步骤、全局光渲染、后期技巧等)。在项目设置的安排上,紧密联系环境设计专业的行业背景,引入适合的实际项目案例,按照实际工作过程将理论知识和实践技能进行整合...

编辑推荐

中图网
返回顶部