欢迎光临中图网 请 | 注册
假期加倍!在书里重启人生|每满30减10
>
关于“金明”检索到   共430种现货商品
出版社:
确定 取消
搜索
搜索全部
  • 搜索全部
  • 书名
  • 作者
  • 出版社
  • ISBN
  • 放射免疫新实践于金明2021观点

    于金明  /  2021-10-01  /  科学技术文献出版社
    ¥72.5(7.4折)定价:¥98.0

    近几年,肿瘤学界最热门的话题当属免疫治疗。2013 年 的Science 杂志将肿瘤免疫治疗列为当年十大科学突破之首。 Alison 教授、Hojo 教授因发现免疫检测点细胞毒性T 淋巴细 胞相关抗原4(CTLA-4)和程序性细胞死亡因子-1(PD-1) 荣获2018 年诺贝尔医学生理学奖。目前,CTLA-4、PD-1 和 PD-L1 等免疫检查点抑制剂已在黑色素瘤、淋巴瘤、肺癌、 头颈部肿瘤等多种恶性肿瘤的治疗中取得突出

  • 环保设备及应(段金明)(第三版)

    ¥44.1(7.6折)定价:¥58.0

    《环保设备及应用》(第三版)共11章,介绍了:环保设备材料、泵、风机、管道、阀门、管件及其选用;大气污染控制、污水处理、噪声控制、固体废物处理等领域若干典型设备的原理、结构、选用等;环保设备技术经济分析;环保设备自动化及PLC在环保中的应用;环保设备课程设计内容。书中列出了若干题目,供学生训练,培养学生的工程素质和创新能力,使课堂教学与学生动手设计保持同步。为便于教学参考和学生理解,章节后面附有思考题与习题。本书根据近年来环保设备更新

  • FPGA设计与Verilog HDL实现/王金明

    王金明  /  2021-01-01  /  电子工业出版社
    ¥45.5(7折)定价:¥65.0

    本书根据EDA课程教学要求,以提高数字系统设计能力为目标,系统阐述FPGA数字开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件结构、Quartus Prime使用指南、Verilog设计初步、Verilog语言要素、Verilog语句语法、Verilog设计的层次与风格、Verilog有限状态机设计、Verilog驱动常用I/O外设、Verilog设计进阶、Verilog Test Bench仿真、Verilog设计

  • 国医阐微:金明渊中医药学论著精选

    金明渊著  /  2021-02-01  /  上海大学出版社
    ¥166.2(6.7折)定价:¥248.0

    本书是一部关于中医理论与临床诊疗的研究论集, 共包括45篇学术论文, 分为“医经探微”“医论阐幽”“病机与治则探析”“方药与治案平议”“名家学说考述”五部分, 内容涉及对中医经典及有关基础理论的辨析、对《伤寒》与《金匮》方证的阐释、对中医临床病证与诊治的专题研究、对中医医史文献的个案探析以及对古方的化裁与治案等, 是作者70年行医生涯中学术研究与临证经验的精华集萃, 具有较高的学术与临床参考价值...

  • 微流控细胞分析(林金明)

    ¥59.3(7.6折)定价:¥78.0

    本书从微流控芯片的结构出发,针对细胞分析的实际应用,系统地总结了微流控芯片细胞分析中所涉及的芯片设计与制作、细胞分选与识别、细胞培养与观察、细胞迁移、细胞分析与单细胞分析、微流控芯片与质谱的联用技术等基础与前沿知识。内容涵盖了:微流控的发展历史,微流控芯片的设计与制作,微流控细胞培养与微环境构建,微流控细胞操控技术,微流控单细胞分析,开放式微流体细胞分析,微流控细胞迁移研究,微流控液滴制备方法与应用,单液滴单细胞分析,微流控芯片质谱联

  • 汽车文化(第2版)/金明等

    ¥13.2(3.8折)定价:¥34.8

    本书通过汽车器物文化(包括汽车简史、汽车的外形与色彩、世界有名汽车公司及其商标)、汽车行为文化(包括赛场风云、在发展中逐步改善的汽车)、汽车精神文化(汽车工业的发展、车界名人、世界名车、汽车新技术和未来汽车)三个部分的内容,来介绍汽车文化的主要内容。本书可作为高职高专汽车专业相关课程的教材,也可作为汽车技术人员、汽车管理人员、汽车爱好者的普及性读物...

  • 现代安全技术管理系列丛书建筑消防安全评估技术与方法/苗金明

    苗金明  /  2018-11-01  /  清华大学出版社
    ¥27.3(7折)定价:¥39.0

    本书以靠前外典型建筑火灾事故的成因及教训为切入点,在简要阐述消防安全评估基本概念、类别、原则、程序、内容等基础上,对当前常用的消防安全现状评估技术与方法、建筑性能化防火设计评估技术与方法进行系统性总结、归纳和应用分析。借助作者及他人实施具体消防安全评估项目的工作实践,提供了丰富的消防安全评估项目案例。通过对比可明确不同类别的消防安全评估之间在目的、适用范围、程序、方法、结果等方面的相同点和存在的差异以及各自所发挥的不同作用。在总结建筑

  • 数字系统设计与VERILOG HDL(第7版)/王金明

    王金明  /  2019-01-01  /  电子工业出版社
    ¥28.4(4.9折)定价:¥58.0

    本书根据EDA课程教学要求,以提高数字系统设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、ModelSim软件为平台,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与

  • 商务礼仪实务(附微课视频)/孙金明

    孙金明 王春凤  /  2019-01-01  /  人民邮电出版社
    ¥17.1(4.3折)定价:¥39.8

    本书以工作岗位需求为导向,以生活中实际情景为切入点,以相关知识点或技能点为载体,围绕项目任务,选择重点内容详细讲授,锦囊知识进行有效补充,用典型案例来启发,通过训练来巩固和掌握相关技能。通过微课呈现碎片化学习内容、扩展教学和训练素材。与现实紧密接轨,拓展教学内容的深度和广度,培养很好技能型人才...

  • EDA技术与VERILOG设计(第2版)/王金明

    王金明  /  2019-01-01  /  电子工业出版社
    ¥38.5(7折)定价:¥55.0

    本书与“十二五”普通高等教育本科重量规划教材、普通高等教育“十一五”重量规划教材《EDA技术与VHDL设计(第2版)》(25178)为姊妹篇。本书根据教学和实验基本要求,以提高动手实践能力和工程设计能力为目的,对EDA技术和FPGA设计的相关知识进行系统、完整的介绍。全书共10章,主要内容包括:EDA技术概述,FPGA/CPLD器件概述,Quartus Prime集成开发工具,Verilog语法与要素,Verilog语句语法,Veri

  • 汽车实用英语(第3版)/赵金明

    赵金明  /  2020-12-01  /  人民交通出版社
    ¥13.2(4.9折)定价:¥27.0

    本书是教育部职业教育与成.人教育司推荐教材,主要内容包括:汽车基础英语、汽车汽车维修英语、汽车应用英语,各单元末附有适量的习题。 本书可供中等职业学校汽车运用与维修专业师生教学使用,也可供汽车使用、维修、检测技术人员参考...

  • 我的第一本漫画科学书:4科学升级王---人体

    ¥10.6(3.8折)定价:¥28.0

    我的*本科学漫画书科学升级王是我的*本科学漫画书的升级版,共10册:《全球变暖》《南极和北极》《海洋》《人体》《力量》《宇宙》《地球》《地震与火山》《天气》《进化》,内容涵盖地理、生物、物理、环境、气候等多学科知识,具有以下特色: 知识视野大升级!从宇宙到人体,从天气到进化。 知识难度大升级!从基础知识到抽象概念,从知识点到知识面。 阅读趣味大升级!从人物到事件,让你从头笑到尾。 活泼搞怪的卡通形象,夸张逗比的人物对话,体现了韩式漫画

  • 慎柔五书

    ¥7.5(7.5折)定价:¥10.0

    地黄丸为肾家之主剂。盖肾水枯,则肝木不荣;木不荣,则枯木生心火。故用熟地以滋肾,用泽泻以去肾家之邪,则地黄成滋肾之功。肾所恶者土也,脾家有湿热,则能克肾水,故用山药补脾,用茯苓以去脾家之湿,则山药成补脾之功。木枯则耗水,以山茱萸敛火以润肝;火炽亦能涸水,以牡丹皮泻心火而补心。心足则火不妄起,且下降与肾交,而补肾之功愈成矣。此即《难经》东方实,西方虚,泻南方,补北方之义;又《素问》亢害承制之道也。凡两手俱数,大便燥者,八物汤。洪大有力,

  • 经济学家茶座(第1720辑):合订本

    金明善 主编  /  2006-12-01  /  山东人民出版社
    ¥15.2(3.8折)定价:¥40.0

    《经济学家茶座》是山东人民出版社推出的一种杂志型图书,并且是国内第一种经济学类杂志书。自2000年6月问世以来,《茶座》以其清新高雅、风格别具的特点,受到了众多学者和读者的关注和好评,被誉为“经济学领域的院士科普书”。目前,《茶座》已被国内数十种媒体上百次的给予介绍和评论,每一辑均荣登北京风入松书店、三联韬奋图书中心的畅销书排行榜,是山东人民出版社的重要品牌图书之一。   《经济学家茶座》主要发表经济学家的真知灼见和所悟所感。与

  • 中文版PHOTOSHOP 2024完全自学教程

    李金明 李金蓉  /  2024-06-01  /  人民邮电出版社
    ¥83.9(7折)定价:¥119.8

    本书是经典的Photoshop自学教程,历经多个版本的更新迭代,累计印刷超1 000 000册。全书共21章,从Photoshop 2024的下载和安装方法讲起,以循序渐进的方式讲解Photoshop 2024全部功能,并通过“实战+PS技术讲堂”的形式深度解密图像合成、特效制作、调色、照片编辑、人像修图、矢量绘图、抠图等专业技术。书中配备了大量应用型实战案例,涵盖平面广告设计、UI设计、网店装修、摄影后期、视频编辑、动画制作、商业插

  • 文化大革命简史(增订新版)

    席宣、金春明  /  2006-01-01  /  中共党史出版社
    ¥39.2(7折)定价:¥56.0

    席宣、金春明二人都是从事中共党史的教学与研究几十年的专业工作者。对“文化大革命”史的研究工作,也近20年了。由于“文化大革命”这段历史的特殊复杂性和曲折性,至今对一些问题还不能说都分析清楚了。但是,作者认为,对“文化大革命”10年的历史,确实已经到了应该给予系统的阐述、深入的研究和讨论的时候了。而“文化大革命”研究的现状同广大群众要求了解历史、认真汲取经验教训的迫切需要,确实还有相当大的差距。重大历史事件的透彻剖析和研究总结,常常

  • 耶路撒冷.一个女人

    ¥17.6(5.5折)定价:¥32.0

    《耶路撒冷,一个女人》出版于2004年,入围2005年布克国际文学奖短名单,荣获2006年《洛杉矶时报》图书奖,并于2010年由以色列著名导演伊安·瑞克利斯改编成电影《人力资源经理》。 这是一次古怪的“英雄救美”。“美人”在故事的开头就死于耶路撒冷集市上发生的一起爆炸事件,身份不明,只有一张工资单暗示她曾是某大型面包店的夜间清洁工。于是,迅速有记者撰文,抨击这家企业缺乏人道主义精神。调查和善后的工作不幸落在了人力资源经理身上。一连几

  • 数字系统设计与Verilog HDL(第8版)

    王金明  /  2021-01-01  /  电子工业出版社
    ¥40.6(7折)定价:¥58.0

    本书根据EDA课程教学要求,以提高数字系统设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、ModelSim软件为工具,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过诸多精选设计案例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。 本

  • 护理学导论

    ¥18.8(7.5折)定价:¥25.0

    《护理学导论(第2版)》在第一版的基础上进行修订,增删了一些内容,进一步方便引导学生系统、全面地了解护理专业学科体系的形成、发展和构成;全书共11章,内容上包括从基础理论到临床应用,编写体例上以工作任务为中心进行展开,以教学实践为基础,兼顾执业考试,注意前后知识点的衔接,以期实现提高护生的基本素质、培养独立思考和创造性思维的临床护理人员之目标。  《护理学导论(第2版)》适合高职、高专护理专业的学生使用,也可供临床工作的护理人员参

  • 丹台玉案-临证综合-21

    ¥62.8(9.1折)定价:¥69.0

    《丹台玉案》系明来医家孙文胤撰,为综合性医书。全书分卷为六,卷之内有类,类中有论,论中次评脉,脉之后主方。《丹台玉案》涉及内、儿、妇、外诸科,分80门类,门下另各附病证73种,总收病种约153种,收录方剂723首,另载单验方20余首,外治20余法。每种病证按因、证、脉、治的次序论述...

  • 冰壑老人医案-医案医话医论-26

    ¥11.3(7.5折)定价:¥15.0

    金九渊 吴天泰辑录苏同生、张香妮校注的《冰 壑老人医案/中国古医籍整理丛书》,由明代吴天泰 、朱茂晖、薛行等辑录明末浙江嘉兴名医金九渊医案 而成。全书载案七十余则,内容涉及内科、外科、妇 科、产科、儿科、痘疹等。本书医案书写文笔流畅, 叙事简洁,风格平实,有较高的文献价值及临床价值 。此次整理以中华医学会上海分会图书馆所藏明崇祯 十四年刻本(孤本》为底本...

  • 新闻发布与舆情应对

    ¥33.6(7.3折)定价:¥46.0

    本书是“社会公共服务培训教材”之一。全书在吸收国内外最新研究成果的基础上, 在政府新闻传播的视域中, 介绍新闻发布的原则、类型、新闻发布与政府形象等有关知识 ; 对舆情的收集、研判以及舆情应对等有关问题进行砺厶教帧...

  • 新编单片机技术应用项目教程-第2版

    张明,金杰主编  /  2016-08-01  /  电子工业出版社
    ¥23.1(7折)定价:¥33.0

    本教材内容主要包括:认识单片机及其开发工具、制作单片机输出控制电路、MCS-51单片机及其指令系统、制作LED数码管显示电路、制作LED点阵显示电路、外部中断的应用、定时器/计数器的应用、A/D转换电路的应用和制作单片机与PC机串行口收发电路,每个内容均包括基本知识和技能实训两大部分...

  • 实时荧光PCR技术-第2版

    李金明主编  /  2016-09-01  /  科学出版社
    ¥93.2(7.9折)定价:¥118.0

    本书系统阐述了实时荧光PCR技术的基础理论和临床检测方法,共25章。包括实时荧光PCR技术的基本原理和方法,PCR实验室设计与质量管理,检验标本的采集、运送、保存及核酸提取,PCR测定数据处理,PCR检验仪器设备,检验质量保证,病毒核酸检测的标准物质,以及各型肝炎病毒、人免疫缺陷病毒、人乳头瘤病毒、巨细胞病毒、冠状病毒、EB病毒、流感病毒、沙眼衣原体、结核杆菌、淋病奈瑟菌、幽门螺杆菌、肺炎支原体、刚地弓形虫、解脲支原体等实时荧光PCR

  • 洪州窑

    ¥75.6(6.3折)定价:¥120.0

    新书亮点: 作者阵容强大撰写所列16卷的文稿作者,均来自国内官方博物馆、考古所或专业研究机构,一半以上作者均为历年重大考古发掘工作的主持者,所用参考资料也大都为各个窑址的一线报告,因此具有该领域内的主要话语权,这也是目前市场上其他同类图书均不能达到的学术高度; 内容全面权威国内*套从考古学、鉴定学、工艺学、美学阐述各主要窑口的丛书,采用一手资料,系统、全面、真实、权威的对中国陶瓷史上的主要窑口进行精度剖析的工具书,一经出版则可填

  • 汽车机械制图

    ¥23.5(4.9折)定价:¥48.0

    本书共分为十个项目, 主要内容包括: 制图的基础知识简介、正投影法与基本体的视图、轴测投影、截交线与相贯线、组合体的画图与读图、图样画法、标准件与常用件、零件图等...

  • 汽车维修接待

    金明//彭静  /  2015-01-01  /  重庆大学出版社
    ¥20.8(8.3折)定价:¥25.0

    本书借鉴国际职业教育的先进教学理念, 按照能力标准组织教学内容, 着重介绍汽车维修接待的工作流程。主要内容包括: 认识汽车维修接待的重要性、实施汽车维修接待流程等...

  • 一千零一夜-小学生领先一步读名著-精华版

    ¥6.5(4.3折)定价:¥15.0

    根据阿拉伯民间故事改编。配合语文新课标要加强小学生课外阅读的要求编辑出版本丛书。选取与小学生阅读能力相适应的名著进行改写、缩写,尽可能地保持原著风貌,并用较大字体编排,全文加注汉语拼音,再配上黑白插图及少量的彩色插页...

  • 食管癌临床多学科综合诊断与鉴别诊断

    ¥41.7(4.9折)定价:¥85.0

    食管癌在我国恶性肿瘤中发病居第5位、死亡居第4位。尽管食管癌总体治疗仍较差,但随着近年来食管癌早诊早治及多学科综合治疗工作的开展,其疗效较上世纪已有显著提高...

  • 经济管理类专业-高等学校毕业设计(论文)指导教程

    ¥25.2(7折)定价:¥36.0

    本教程系统地介绍了经济管理类毕业论文相关内容,主要包括三部分:经济管理类毕业论文指导、经济管理类毕业论文流程和经济管理类毕业论文实例及选题。 本教程遵循规范性原则,力求展示规范的经济管理专业毕业论文流程和论文写作过程。本教程本着实用性原则,列举市场营销专业、国际贸易专业、人力资源专业、物业管理专业、物流管理专业大量实例,阐述了毕业论文的所有相关内容和文档,使读者对毕业论文有了更形象和更直接的认识。本教程本着先进性原则,列举的选题

  • IIIustrsator CC完全自学教程-(附光盘)

    ¥37.6(3.8折)定价:¥99.0

    完全的功能讲解:全面细致讲解了illustrator cc全部的功能命令,真正做到完全解析、完全自学、完全实战。 完备的命令检索:本书制作了软件功能索引,涵盖了illustrator cc全部的功能命令,读者可以通过索引快速、准确地查找到所需信息。 学习与练习结合:本书专门设计了73个技术看板、210个实战练习和24个综合实例,帮助读者以较短的时间掌握并巩固illustrator cc的重要命令和主要应用。 视频与图书互补:本书附带一

  • 坛子

    ¥7.7(4.3折)定价:¥18.0

    1. 韩国著名诗人郑浩承先生的童话集,是一部写给成人的童话书。包括八篇童话,篇篇感召心灵,启人深思。 2. 本书图文并茂,文字图画朴实动人,如同是一本用铅笔一笔一划写就的童年时代的图画日记,温暖而纯真。 3. 在韩国数次印刷,感动了一代又一代的读者。 ...

  • 临床免疫学检验技术

    李金明//刘辉  /  2015-01-01  /  人民卫生出版社
    ¥43.4(7折)定价:¥62.0

    免疫检验技术是建立在抗原和抗体的特异结合反应基础上的,其检测的靶物质也是相应的抗原和抗体。从理论上讲,只要能得到其特异抗体,任何一种抗原物质,均可以建立其免疫测定方法:同样,只要能得到足够纯度的特定天然抗原或基因工程抗原甚至合成多肽,也可以很容易地建立相应特异抗体的免疫测定方法。因此,免疫检验技术在临床检验实践中应用最为广泛,涉及众多蛋白抗原、激素、药物小分子、自身抗体、病原体特异抗体、细胞亚群等的检测,既有定性,又有定量,在临床疾病

  • 土地经济研究-2

    ¥28.5(7.3折)定价:¥39.0

    本书是关于土地经济研究的系列成果,作者是土地经济产学研政等领域的专家或实务工作者,从不同的视角,解读中国土地经济...

  • 袖珍方-方书-08

    ¥111.3(7折)定价:¥159.0

    《袖珍方》,明·李恒撰,成书于明洪武二十三年(1390)。共四卷,方计3077首,按病证分为八十一门。卷一为风、寒、暑、湿、伤寒外感病以及疟、痢、呕吐、咳喘等部分内科病;卷二~卷三为各种内科病,卷三末为五官科病;卷四首为折伤,后为妇人、小儿病。此书内容广博,涵盖内、外、妇、儿、五官各科...

  • 11-北京市经济形势分析与预测

    ¥31.9(5.5折)定价:¥58.0

    本书是在对2014年北京市经济社会形势分析的基础上,预测2015年北京市经济社会形势状况.包括北京市2014年的发展环境预测、综合经济景气预测、产业发展形势预测、社会民生预测等,同时搜集了多篇专家的专题研究文章,具有一定的权威性,最后的附录部分汇集了北京市功能区产业发展与规划资料,也有相当的指导、参考价值...

  • 水电工程节能降耗分析应用研究

    ¥46.2(7折)定价:¥66.0

    本书以资料收集和数据分析为主,通过对涉及水电工程的节能降耗政策、法律法规及技术标准的分析、总结,针对我国水电工程节能降耗存在的问题及实际情况,结合工程案例对工程建设及运行的能耗分析、能耗量计算、节能措施、节能效果综合评价方法、节能评估等方面进行了研究和探讨...

  • 电工技能训练

    张明金  /  2015-07-01  /  电子工业出版社
    ¥26.6(7.4折)定价:¥36.0

    本书以培养学生实践操作能力为主线,结合维修电工中级工的技术要求,突出安装、维修、故障诊断与排除,综合实训考核指导与考核评价相结合,内容实用,易于操作,突出了鲜明的职业教育特色与综合实训的理念。在内容选取上按照机电类、电气类、电子类各专业岗位技能的要求和国家职业技能等级考核目标而进行的,适当降低理论难度,突出操作技能...

  • 海洋问题时评-第一辑

    金永明著  /  2015-06-01  /  中央编译出版社
    ¥39.4(6.8折)定价:¥58.0

    全书分为“海洋问题的理论与中国”、“东海问题时评”、“南海问题时评”、“中国与海洋问题”四个部分。作为时评性的文章,读者也可从中窥得中国海洋问题尤其是东海、南海问题演变的过程,具有比较强的可读性。同时,也为进一步宣传和阐释海洋问题的本质提供了素材和参考。 本书由中国政法大学国际法学院教授、国际法学者周忠海作序。...

  • 格言联璧--崇文国学经典普及文库

    (清)金缨著;雷明君译  /  2015-08-01  /  崇文书局
    ¥8.7(3.8折)定价:¥22.8

    格言是中国文化的一种特殊形式它凝聚了中国古代人民几千年来的人生修养与生存智慧,是一种能够给人以告诫、劝勉与激励的名言警句。经过一代又一代人的不断 传诵与总结,这些经典格言以言简意赅、意味隽永的文字,给人以深刻的启迪。《格言联璧(精)》系清人金缨所编,收录格言十一种,分学问、养生、敦品、接物、悖凶等篇,其说理之切、择言之赅,字字精准、富有哲理,确为一部济世良书,值得一一细读与思考。 更多国学书籍,请点击下方↓↓↓ ...

  • 现代汽车电子装置结构原理与维修

    ¥10.3(3.8折)定价:¥27.0

    本书以“基于工作过程”课程开发为指导,邀请行业、企业专家对汽车检测专业所涵盖的岗位群进行工作任务和职业能力分析,并以此为依据确定本书的工作任务和内容。本书以项目教学为主线,介绍了汽车电子装置结构原理与维修的基本方法,涉及汽油机汽车的燃油系统、空气供给系统、点火系统、底盘系统及辅助系统的典型部件。本书力求实用、够用,突出工学结合、多岗适应...

  • 世界卫生组织药品标准专家委员会第45次技术报告

    ¥48.0(4.9折)定价:¥98.0

    本报告介绍了由WHO招集的国际专家组就药品质量保证、原料药及其制剂质量标准等相关问题的建议。主要内容包括: 一般政策、与生物标准化专家委员会的联合会议等...

  • 常见病中成药临床合理使用丛书眼科分册

    ¥18.2(7折)定价:¥26.0

    本书共分为干眼症、急性结膜炎、单纯疱疹病毒性角膜炎、年龄相关性黄斑变性、视神经萎缩五部分, 主要内容包括: 中医病因病机分析及常见证型、辨证选择中成药等...

  • 金俊明 陈明自书画册-荣宝斋藏册页

    金俊明  /  2015-10-01  /  荣宝斋出版社
    ¥16.0(5折)定价:¥32.0
    本书正在团购:

    这套《荣宝斋藏册页》系统地整理了荣宝斋所收藏的历代名家名作,经过精心梳理、编辑后以飨读者。这不仅是对中国书画创作及形制进行的研究与呈现,同时也反映出荣宝斋作为百年文化老字号的深厚积淀。这其中有明末清初的“浙派”代表人物蓝瑛与“姑孰派”大家萧云从,清早期的学者型画家王概与“戾家”吴世贤,清中期“扬州八怪”中的黄慎与名未见经传但画艺精湛的曹涧,近代的海派巨擘任熊、吴昌硕,以及文人画的集大成者黄宾虹、齐白石等诸多历史上各门派的代表人物和

  • 大学生心理危机干预指南

    金晓明  /  2015-05-01  /  浙江大学出版社
    ¥21.3(8.5折)定价:¥25.0

    这本小册子从危机干预的基本概念谈起, 简要介绍了危机干预的特殊性和危机干预常用的九步晤谈法, 介绍了因心理疾病引发危机时常见的干预流程, 并列举了9个案例予以说明, 为危机干预工作者提供参考。自杀干预属于比较厥獾那榫场J橹辛芯倭俗陨蔽此旌妥陨背晒Φ陌咐饕桓...

  • 电动机车理论

    ¥101.1(7.9折)定价:¥128.0

    《电动机车理论》可供电动汽车相关企业的工程技术人员自学研究,也可供相关企业、行政部门及行业协会等技术领导决策参考,也可作为车辆工程、机电工程、交通工程等相关专业的教学用书.《电动机车理论》所提供的科学分析思考方法,也有利于培养科技人员的创新思维,也十分适合用于汽车制造、电机及其驱动控制等相关企业对科技人员进行专业技术培训或职业教学...

  • 资源配置与政府职能:经济文论自选集

    阎金明著  /  2014-07-01  /  天津社会科学院出版社
    ¥53.9(5.5折)定价:¥98.0

    《资源配置与政府职能:经济文论自选集(套装上下册)》是一价丛套书,分为上、下两册,是作者阎金明的论文合集,内容涉及经济、民生、杂感等方面。上册是作者对宏观经济、区域经济、民营经济等领域的研究文章,是作者多年来在经济领域研究的成果与心得,对经济工作者、高校教师有较强的参考作用。下册是作者对民生、时事等方面的感悟,以杂感为主。虽是杂感,但作者从一个经济研究者的角度切入,让这些随笔成为自1992年以来我国经济发展历程的一个见证。总体说来

  • 三星阴谋

    ¥12.5(4.3折)定价:¥29.0

    韩国新时代推理小说之王金辰明扛鼎之作 小说披露的骇人听闻的阴谋正在变为现实 韩国推理小说之王金辰明这部作品警醒我们,国家的兴衰荣辱,必定要在科技上对决。 只要人类历史存续,科技战争就不会止息。 在这场战争中,科学家是关键。 金辰明用透彻的观察、缜密的推理揭开一场石破天惊的阴谋! ...

  • 高等数学:上册

    刘金舜,羿旭明  /  2012-07-01  /  武汉大学出版社
    ¥20.8(8折)定价:¥26.0

    本书主要内容包括:函数、极限理论、函数的连续性、导数与微分、中值定理及导数的应用、不定积分、定积分...

  • 教师金言99则

    金忠明等  /  2013-03-01  /  上海教育出版社
    ¥18.3(3.9折)定价:¥47.0

    教育从本质上来说是一种实践活动,驾驭这一活动的教师必须具有艺术家般的丰富情感与创造能力。在这一特殊的艺术活动中,教师们常常会有深切的感悟,並结晶为精彩而各具个性的语言。他们蕴含着丰富的教育经验,言简意赅,语精义丰,是教育的宝藏,有时比宏篇巨制的所谓教育科学理论更具启发性与指导性。本书精心筛选搜集了精彩纷呈的教师金言,并加以点评,供教师们欣赏...

  • 疾病预防与控制基本技能实验教程

    ¥24.7(8.5折)定价:¥29.0

    (1)涉及流行病学、卫生/医学统计学、循证医学、医学消毒学、科研设计与管理、预防接种以及其他相关学科或领域;(2)强化现场调查、应急处置、预防接种、消毒与隔离、病媒监测防治以及统计软件使用等内容;(3)邀请实践基地教师参编,收集地方特色的“公共卫生案例”;(4)内容格式:实验目的、知识要点、基本技能、案例分析等...

  • 电子电路分析与实践

    张明金主编  /  2013-12-01  /  中国铁道出版社
    ¥15.4(5.5折)定价:¥28.0

    《电子电路分析与实践/“十二五”高等职业教育机电类专业规划教材》是根据高职高专人才培养的目标,并结合当前多数高职高专院校进行项目化、理实一体化、任务驱动等教学方法的改革,以“工学结合;项目引导;任务驱动;‘做中学,学巾做,学做一体,边学边做’一体化”为原则编写的。以工作任务引领的方式将相关知识点融人到完成工作任务所必备的工作项目中,使学生掌握必要的基本理论知识,并使学生的实践能力、职业技能、分析问题和解决问题的能力不断提高。  全

编辑推荐

中图网
返回顶部