超值优惠券
¥50
100可用 有效期2天

全场图书通用(淘书团除外)

不再提示
关闭
欢迎光临中图网 请 | 注册

FPGA开发与应用

作者:王振红
出版社:清华大学出版社出版时间:2010-09-01
开本: 16 页数: 400页
中 图 价:¥19.1(4.9折) 定价  ¥39.0 登录后可看到会员价
加入购物车 收藏
运费6元,满39元免运费
?新疆、西藏除外
温馨提示:5折以下图书主要为出版社尾货,大部分为全新(有塑封/无塑封),个别图书品相8-9成新、切口
有划线标记、光盘等附件不全详细品相说明>>
本类五星书更多>

FPGA开发与应用 版权信息

FPGA开发与应用 本书特色

《FPGA开发与应用》:易学、易懂、易上手,覆盖了模拟电子技术基础、数字电子技术基础、FPGA基本知识,采用了大量综合性电子电路小系统设计实例。从兴趣到提高再到创新,不断循环往复,使学生的实践创新能力不断得到提高。设计实例由浅入深,经过实验检验,可以作为电子设计竞赛赛前训练题目,也可以作为电子电路课程设计参考题目。

FPGA开发与应用 目录

第1章 FPGA及其硬件描述语言VHDL 11.1 FPGA简介 11.2 VHDL程序的特点 11.3 VHDL程序的基本结构 21.3.1 库说明 31.3.2 实体说明 41.3.3 结构体说明 51.4 VHDL的数据 51.4.1 基本标识符 51.4.2 数据对象 61.4.3 数据类型 71.5 VHDL的表达式 101.5.1 逻辑运算符 101.5.2 算术运算符 101.5.3 关系运算符 111.5.4 并置运算符 121.5.5 操作符的运算优先级 12第2章 VHDL的顺序描述语句 142.1 信号赋值语句和变量赋值语句 142.2 if语句 142.3 case语句 172.4 for loop 循环语句 192.5 null语句 20第3章 VHDL的并行描述语句 223.1 进程语句 223.1.1 进程语句的敏感信号表 223.1.2 进程语句的启动 233.1.3 进程语句的同步 233.2 并发信号赋值语句 253.3 条件信号赋值语句 263.4 选择信号赋值语句 283.5 元件例化语句 303.6 生成语句 33第4章 VHDL中时钟信号及复位信号的描述方法 374.1 时钟信号的VHDL描述方法 374.1.1 时钟边沿的描述 374.1.2 时序电路中的进程敏感信号 384.2 时序电路中复位信号的VHDL描述方法 394.2.1 同步复位 394.2.2 异步复位 40第5章 用VHDL设计有限状态机 415.1 有限状态机的基本概念 415.2 Moore型有限状态机的设计实例 425.2.1 存储控制器的三进程描述方式 435.2.2 存储控制器的单进程描述方式 455.2.3 存储控制器的双进程描述方式 46第6章 FPGA的应用软件MAX+plus II的使用方法 486.1 编程存储及编译 486.2 指定器件及编译 516.3 指定器件管脚及编译 516.4 下载 526.5 存储及编译图形描述 536.6 仿真 55第7章 FPGA数字电路设计实例 597.1 门电路的FPGA设计 597.1.1 与非门电路 597.1.2 二输入或非门电路 627.1.3 二输入异或门电路 637.1.4 反向器门电路 647.1.5 三态门电路 657.1.6 单向总线缓冲器 667.1.7 双向总线缓冲器 677.2 组合逻辑电路的FPGA设计 677.2.1 监视交通信号灯工作状态的逻辑电路 687.2.2 8线-3线编码器 697.2.3 8线-3线优先编码器 707.2.4 二-十进制编码器 717.2.5 3线-8线译码器 737.2.6 二-十进制译码器 747.2.7 BCD七段显示译码器 757.2.8 代码转换电路 777.2.9 四选一数据选择器 787.2.10 八选一数据选择器 797.2.11 4位全加器 807.2.12 8位加法器 827.2.13 多位数值比较器 837.3 触发器的FPGA设计 847.3.1 RS触发器 847.3.2 主从JK触发器 857.3.3 D触发器 867.4 时序逻辑电路的FPGA设计 887.4.1 寄存器 887.4.2 双向移位寄存器 887.4.3 串行输入并行输出移位寄存器 907.4.4 循环移位寄存器 907.4.5 4位同步二进制计数器 917.4.6 单时钟同步十六进制加/减计数器 927.4.7 双时钟同步十六进制加/减计数器 937.4.8 同步十进制加法计数器 967.4.9 单时钟同步十进制可逆计数器 977.4.10 异步二进制加法计数器 987.4.11 同步100进制计数器 1007.4.12 同步29进制计数器 1017.4.13 顺序脉冲发生器 1037.4.14 序列信号发生器 1047.4.15 用状态机方法设计十三进制计数器 1057.4.16 串行数据检测器 1067.4.17 能自启动的七进制计数器 1087.4.18 能自启动的3位环形计数器 1097.4.19 用状态机方法设计十进制减法计数器 110第8章 FPGA应用系统设计实例 1128.1 实例一:FPGA控制的数码显示电路 1128.1.1 设计要求 1128.1.2 设计分析 1128.1.3 显示原理 1128.1.4 驱动8位数码管显示电路框图 1138.1.5 模块及模块功能 1148.2 实例二:键盘控制电路 1188.2.1 设计要求 1188.2.2 设计分析 1188.3 实例三:FPGA控制的点阵发光器件显示汉字 1258.3.1 设计要求 1258.3.2 设计分析 1258.3.3 器件及硬件电路 1258.3.4 设计软件的思路及源程序 1298.4 实例四:FPGA控制的数模(D/A)转换电路 1428.4.1 设计要求 1428.4.2 设计分析 1428.4.3 DAC0832转换器 1428.4.4 数模(D/A)转换电路 1438.4.5 FPGA控制的数模(D/A)转换电路 1448.5 实例五:FPGA控制的模数(A/D)转换0809的应用 1468.5.1 设计要求 1468.5.2 设计分析 1468.5.3 ADC0809转换器及其转换电路 1478.5.4 FPGA控制的模数(A/D)转换电路 1508.5.5 用数码管显示模数(A/D)转换器的输入电压 1548.5.6 ADC0809转换模拟输入负电压电路 1598.6 实例六:数控式可逆步进调压直流稳压电源 1618.6.1 设计要求 1618.6.2 原理及硬件电路 1618.6.3 软件设计思想及源程序 1638.7 实例七:数控式直流电流源 1668.7.1 设计指标及框图 1668.7.2 硬件电路图 1668.7.3 软件设计思想及源程序 1688.8 实例八:低频数字式相位测量仪 1718.8.1 设计指标及框图 1718.8.2 移相网络 1728.8.3 相位测量 1738.9 实例九:多路数据采集系统 1858.9.1 设计内容 1858.9.2 现场模拟信号产生器 1868.9.3 八路数据采集器 1888.9.4 主控器 1928.10 实例十:测量放大器 2078.10.1 测量放大器系统 2078.10.2 桥式电路 2078.10.3 信号变换放大器 2088.10.4 直流电压放大器 2098.10.5 程控的直流电压放大器 2118.11 实例十一:低频功率放大器 2228.11.1 设计任务 2228.11.2 功率放大器 2238.11.3 前置放大器 2248.11.4 系统测试 2248.11.5 自制稳压电源 2258.11.6 集成功率放大器 2268.12 实例十二:开关型稳压电源 2328.12.1 脉冲宽度调制电路MIC2194 2328.12.2 MC34060控制的串联型开关稳压电源 2338.13 实例十三:程控滤波器 2358.13.1 设计要求 2358.13.2 设计框图 2358.13.3 程控放大器 2368.13.4 程控低通滤波器 2408.13.5 程控高通滤波器 2438.13.6 程控滤波器的FPGA控制核心 2458.14 实例十四:信号发生器 2708.14.1 设计要求 2708.14.2 功能及其内部接线 2708.14.3 信号发生器的FPGA内部结构 2728.14.4 调用MAX+plusⅡ10.2中的除法元件方法 2968.15 实例十五:交流电压参数的测量 2988.15.1 设计要求 2988.15.2 给定的器件 2988.15.3 硬件电路 3018.15.4 软件电路 3028.16 实例十六:宽带放大器 3188.16.1 设计要求 3188.16.2 硬件电路 3198.16.3 软件电路 3228.17 实例十七:高效率音频功率放大器 3408.17.1 设计要求 3408.17.2 D类放大器的工作原理 3418.17.3 硬件电路 3418.17.4 软件电路 3458.18 实例十八:数字化语音存储与回放系统 3568.18.1 设计要求 3568.18.2 硬件电路 3578.18.3 软件电路 3608.19 实例十九:数字式工频有效值多用表 3658.19.1 设计要求 3658.19.2 硬件电路 3668.19.3 软件电路 3668.20 实例二十:简易电阻、电容和电感测量仪 3858.20.1 设计要求 3858.20.2 硬件电路 3868.20.3 软件电路 388参考文献 401
展开全部

FPGA开发与应用 节选

《FPGA开发与应用》第1章~第5章介绍了FPGA及其硬件描述语言VHDL的特点,VHDL语言中常用的数据、运算符、顺序描述语句和并行描述语句、时钟信号描述、状态机等基本概念和应用。第6章介绍了MAX+plus II软件应用方法。第7章与清华大学阎石主编的《数字电子技术基础》(第4版)同步,为FPGA数字电路设计实例,针对门电路、组合逻辑电路、触发器、时序逻辑电路及存储器等各种功能芯片以及一些例题,讲解了基于VHDL及FPGA的实现方法。第8章介绍了FPGA应用系统设计实例,设计实例由浅入深,并配有相关的图及注释。这些设计实例可以作为电子设计竞赛的赛前训练题目,也可以作为电子电路课程设计的参考题目。《FPGA开发与应用》可作为大专院校电类学生学习VHDL及FPGA的实训教科书,也可供有关工程技术人员参考使用。

FPGA开发与应用 相关资料

插图:FPGA(Field Programmable Gate Array,现场可编程门阵列)是在PAL(Programmable Array Logic,可编程阵列逻辑)、GAL(Generic Array Logic,通用阵列逻辑)、CPLD(Complex Programmable Logic Device,复杂可编程逻辑器件)基础上进一步发展的产物。它具有高集成度,是几万到几百万逻辑门、触发器的集成,便于实现高速的大规模数字电路系统。FPGA采用CMOS工艺,实现了低功耗要求。另外,FPGA掉电后不能保存数据,因而需要配置EPROM芯片,只要将程序存放在EPROM中,上电后程序会自动加载到FPGA上,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只需用通用的EPROM编程器即可。当需要修改FPGA的功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。用户可对FPGA内部的逻辑模块和I/O模块重新配置,以实现用户的逻辑,因而FPGA也被用于模拟CPU。用户可以将FPGA的编程数据放在EPROM芯片中,也可以在线对FPGA进行编程,实现系统在线重构。根据这一特性,用户可以利用FPGA构建一个根据工程任务不同而实时定制的CPU,这是当今研究的热门领域。1.2 VHDL程序的特点FPGA的硬件描述语言VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)符合美国电气和电子工程师协会标准(IEEE标准1076),它使用户能够利用一种和数字电路基本知识结合较密切的语言来描述数字电路和设计数字电路系统。用户可以利用VHDL进行分块单元电路设计和整个系统设计,并结合一些先进的EDA工具软件(例如MAX+plus II),通过计算机将VHDL程序下载到硬件芯片上,以实现电路功能,如图1.1所示。在当今高速发展的信息时代,这种设计方法可以极大地缩短产品的设计周期,加快产品进入市场的步伐,从而更好地把握商机。为适应实际数字电路的工作方式,VHDL以并行和顺序等多种语句方式来描述在同一时刻所有可能发生的事件。因此VHDL程序的执行方式与其他语言不同,它不是按顺序执行每一条语句,而是并行执行与顺序执行共存。这要求数字电路设计人员摆脱一维的思维模式,以多维并发的思路来完成VHDL的程序设计。VHDL程序的特点如图1.2所示,它通常由一组并行语句构成,有些并行语句里又包含了顺序语句。

商品评论(0条)
暂无评论……
书友推荐
本类畅销
编辑推荐
返回顶部
中图网
在线客服